JP2003515674A - 二酸化ケイ素を含む膜の原子層化学蒸着 - Google Patents
二酸化ケイ素を含む膜の原子層化学蒸着Info
- Publication number
- JP2003515674A JP2003515674A JP2001542604A JP2001542604A JP2003515674A JP 2003515674 A JP2003515674 A JP 2003515674A JP 2001542604 A JP2001542604 A JP 2001542604A JP 2001542604 A JP2001542604 A JP 2001542604A JP 2003515674 A JP2003515674 A JP 2003515674A
- Authority
- JP
- Japan
- Prior art keywords
- silicon
- compound
- silicon compound
- silicon dioxide
- growth substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 title claims abstract description 90
- 235000012239 silicon dioxide Nutrition 0.000 title claims abstract description 44
- 239000000377 silicon dioxide Substances 0.000 title claims abstract description 44
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 title claims abstract description 43
- 238000000034 method Methods 0.000 claims abstract description 59
- 150000003377 silicon compounds Chemical class 0.000 claims abstract description 48
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 43
- 239000001301 oxygen Substances 0.000 claims abstract description 41
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims abstract description 35
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 35
- 239000000758 substrate Substances 0.000 claims abstract description 31
- 239000010409 thin film Substances 0.000 claims abstract description 17
- 239000013110 organic ligand Substances 0.000 claims abstract description 10
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract 2
- 238000006243 chemical reaction Methods 0.000 claims description 36
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 29
- 229910052710 silicon Inorganic materials 0.000 claims description 26
- 239000010703 silicon Substances 0.000 claims description 25
- 239000010408 film Substances 0.000 claims description 21
- -1 Amino, silyl Chemical group 0.000 claims description 17
- 150000001875 compounds Chemical class 0.000 claims description 17
- 229910052751 metal Inorganic materials 0.000 claims description 16
- 239000002184 metal Substances 0.000 claims description 16
- 125000003545 alkoxy group Chemical group 0.000 claims description 12
- 125000000217 alkyl group Chemical group 0.000 claims description 11
- 238000000151 deposition Methods 0.000 claims description 11
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 11
- 229910001868 water Inorganic materials 0.000 claims description 11
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 claims description 10
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 9
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 claims description 8
- 150000002736 metal compounds Chemical class 0.000 claims description 8
- 229910000077 silane Inorganic materials 0.000 claims description 8
- 229910052726 zirconium Inorganic materials 0.000 claims description 8
- 230000008569 process Effects 0.000 claims description 7
- 239000010936 titanium Substances 0.000 claims description 7
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 6
- 229910052735 hafnium Inorganic materials 0.000 claims description 6
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims description 6
- 229910052746 lanthanum Inorganic materials 0.000 claims description 6
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 claims description 6
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 claims description 6
- 229910052719 titanium Inorganic materials 0.000 claims description 6
- 239000012808 vapor phase Substances 0.000 claims description 6
- 229910052782 aluminium Inorganic materials 0.000 claims description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 5
- 238000011065 in-situ storage Methods 0.000 claims description 5
- OKKJLVBELUTLKV-UHFFFAOYSA-N methanol Natural products OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 claims description 5
- 229910052727 yttrium Inorganic materials 0.000 claims description 5
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 claims description 5
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 4
- KFSLWBXXFJQRDL-UHFFFAOYSA-N Peracetic acid Chemical compound CC(=O)OO KFSLWBXXFJQRDL-UHFFFAOYSA-N 0.000 claims description 4
- 239000000460 chlorine Substances 0.000 claims description 4
- 230000008021 deposition Effects 0.000 claims description 4
- OSVXSBDYLRYLIG-UHFFFAOYSA-N dioxidochlorine(.) Chemical compound O=Cl=O OSVXSBDYLRYLIG-UHFFFAOYSA-N 0.000 claims description 4
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 claims description 4
- 229910052752 metalloid Inorganic materials 0.000 claims description 4
- 150000002738 metalloids Chemical class 0.000 claims description 4
- 229910052715 tantalum Inorganic materials 0.000 claims description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 4
- XFXPMWWXUTWYJX-UHFFFAOYSA-N Cyanide Chemical compound N#[C-] XFXPMWWXUTWYJX-UHFFFAOYSA-N 0.000 claims description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 3
- 125000005370 alkoxysilyl group Chemical group 0.000 claims description 3
- 125000005376 alkyl siloxane group Chemical group 0.000 claims description 3
- 125000005103 alkyl silyl group Chemical group 0.000 claims description 3
- 238000009835 boiling Methods 0.000 claims description 3
- 125000004432 carbon atom Chemical group C* 0.000 claims description 3
- 229910052801 chlorine Inorganic materials 0.000 claims description 3
- 125000004093 cyano group Chemical group *C#N 0.000 claims description 3
- VLTRZXGMWDSKGL-UHFFFAOYSA-N perchloric acid Chemical compound OCl(=O)(=O)=O VLTRZXGMWDSKGL-UHFFFAOYSA-N 0.000 claims description 3
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 claims description 3
- 229920002554 vinyl polymer Polymers 0.000 claims description 3
- YNJSNEKCXVFDKW-UHFFFAOYSA-N 3-(5-amino-1h-indol-3-yl)-2-azaniumylpropanoate Chemical compound C1=C(N)C=C2C(CC(N)C(O)=O)=CNC2=C1 YNJSNEKCXVFDKW-UHFFFAOYSA-N 0.000 claims description 2
- 239000004155 Chlorine dioxide Substances 0.000 claims description 2
- 125000003277 amino group Chemical group 0.000 claims description 2
- 239000007864 aqueous solution Substances 0.000 claims description 2
- 125000003118 aryl group Chemical group 0.000 claims description 2
- 235000019398 chlorine dioxide Nutrition 0.000 claims description 2
- 239000007789 gas Substances 0.000 claims description 2
- 239000000203 mixture Substances 0.000 claims description 2
- 238000006467 substitution reaction Methods 0.000 claims description 2
- 239000003153 chemical reaction reagent Substances 0.000 claims 5
- 150000002737 metalloid compounds Chemical class 0.000 claims 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical class [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 claims 2
- 229910001936 tantalum oxide Inorganic materials 0.000 claims 2
- 150000001298 alcohols Chemical class 0.000 claims 1
- 239000001963 growth medium Substances 0.000 claims 1
- TUJKJAMUKRIRHC-UHFFFAOYSA-N hydroxyl Chemical compound [OH] TUJKJAMUKRIRHC-UHFFFAOYSA-N 0.000 claims 1
- 125000001424 substituent group Chemical group 0.000 claims 1
- 229910004298 SiO 2 Inorganic materials 0.000 abstract description 11
- 238000004519 manufacturing process Methods 0.000 abstract description 5
- 230000035484 reaction time Effects 0.000 abstract description 3
- 239000000463 material Substances 0.000 description 44
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 20
- 238000002955 isolation Methods 0.000 description 12
- 239000002994 raw material Substances 0.000 description 11
- 239000003446 ligand Substances 0.000 description 10
- 229910044991 metal oxide Inorganic materials 0.000 description 10
- 150000004706 metal oxides Chemical class 0.000 description 10
- 238000010926 purge Methods 0.000 description 10
- 239000010410 layer Substances 0.000 description 8
- WYTZZXDRDKSJID-UHFFFAOYSA-N (3-aminopropyl)triethoxysilane Chemical compound CCO[Si](OCC)(OCC)CCCN WYTZZXDRDKSJID-UHFFFAOYSA-N 0.000 description 7
- SJECZPVISLOESU-UHFFFAOYSA-N 3-trimethoxysilylpropan-1-amine Chemical compound CO[Si](OC)(OC)CCCN SJECZPVISLOESU-UHFFFAOYSA-N 0.000 description 7
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 238000003877 atomic layer epitaxy Methods 0.000 description 6
- 239000011261 inert gas Substances 0.000 description 5
- 150000002739 metals Chemical class 0.000 description 5
- YVWPNDBYAAEZBF-UHFFFAOYSA-N trimethylsilylmethanamine Chemical compound C[Si](C)(C)CN YVWPNDBYAAEZBF-UHFFFAOYSA-N 0.000 description 5
- 230000008901 benefit Effects 0.000 description 4
- 239000003990 capacitor Substances 0.000 description 4
- 238000002360 preparation method Methods 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000007795 chemical reaction product Substances 0.000 description 3
- 238000010494 dissociation reaction Methods 0.000 description 3
- 208000018459 dissociative disease Diseases 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 239000002052 molecular layer Substances 0.000 description 3
- 238000000926 separation method Methods 0.000 description 3
- 239000000243 solution Substances 0.000 description 3
- 239000007858 starting material Substances 0.000 description 3
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 2
- VSCWAEJMTAWNJL-UHFFFAOYSA-K aluminium trichloride Chemical compound Cl[Al](Cl)Cl VSCWAEJMTAWNJL-UHFFFAOYSA-K 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 150000003254 radicals Chemical class 0.000 description 2
- 150000004756 silanes Chemical class 0.000 description 2
- KPZGRMZPZLOPBS-UHFFFAOYSA-N 1,3-dichloro-2,2-bis(chloromethyl)propane Chemical compound ClCC(CCl)(CCl)CCl KPZGRMZPZLOPBS-UHFFFAOYSA-N 0.000 description 1
- BYIMSFXYUSZVLI-UHFFFAOYSA-N 3-methoxysilylpropan-1-amine Chemical compound CO[SiH2]CCCN BYIMSFXYUSZVLI-UHFFFAOYSA-N 0.000 description 1
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 description 1
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- 108700042918 BF02 Proteins 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- BDAGIHXWWSANSR-UHFFFAOYSA-M Formate Chemical group [O-]C=O BDAGIHXWWSANSR-UHFFFAOYSA-M 0.000 description 1
- 101001083543 Homo sapiens Host cell factor 1 Proteins 0.000 description 1
- 101000831616 Homo sapiens Protachykinin-1 Proteins 0.000 description 1
- 102100030355 Host cell factor 1 Human genes 0.000 description 1
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 1
- 229910015868 MSiO Inorganic materials 0.000 description 1
- 102100024304 Protachykinin-1 Human genes 0.000 description 1
- 229910018540 Si C Inorganic materials 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 229910021486 amorphous silicon dioxide Inorganic materials 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 150000001768 cations Chemical class 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 238000005538 encapsulation Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- PDPJQWYGJJBYLF-UHFFFAOYSA-J hafnium tetrachloride Chemical compound Cl[Hf](Cl)(Cl)Cl PDPJQWYGJJBYLF-UHFFFAOYSA-J 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 238000012994 industrial processing Methods 0.000 description 1
- 229910052747 lanthanoid Inorganic materials 0.000 description 1
- 150000002602 lanthanoids Chemical class 0.000 description 1
- 238000001182 laser chemical vapour deposition Methods 0.000 description 1
- 229910001510 metal chloride Inorganic materials 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 150000003961 organosilicon compounds Chemical class 0.000 description 1
- 150000002927 oxygen compounds Chemical class 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 150000002978 peroxides Chemical class 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 239000012254 powdered material Substances 0.000 description 1
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 description 1
- 229910052761 rare earth metal Inorganic materials 0.000 description 1
- 150000002910 rare earth metals Chemical class 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000005049 silicon tetrachloride Substances 0.000 description 1
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 1
- JHGCXUUFRJCMON-UHFFFAOYSA-J silicon(4+);tetraiodide Chemical compound [Si+4].[I-].[I-].[I-].[I-] JHGCXUUFRJCMON-UHFFFAOYSA-J 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- OEIMLTQPLAGXMX-UHFFFAOYSA-I tantalum(v) chloride Chemical compound Cl[Ta](Cl)(Cl)(Cl)Cl OEIMLTQPLAGXMX-UHFFFAOYSA-I 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- 229910052723 transition metal Inorganic materials 0.000 description 1
- 150000003624 transition metals Chemical class 0.000 description 1
- 230000005641 tunneling Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02142—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
- H01L21/02156—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing at least one rare earth element, e.g. silicate of lanthanides, scandium or yttrium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45531—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/10—Inorganic compounds or compositions
- C30B29/16—Oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02142—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
- H01L21/02145—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02142—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
- H01L21/02148—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02142—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
- H01L21/0215—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02142—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
- H01L21/02153—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02142—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
- H01L21/02159—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
- H01L21/02222—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
- H01L21/31612—Deposition of SiO2 on a silicon body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Inorganic Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Abstract
Description
関する。
合物を成長基板に付着させ、その付着したケイ素化合物を二酸化ケイ素に転換す
ることによるALCVD(原子層化学蒸着)法によって成長基板の上に製造され
る。
物)の製造についての請求項20のプレアンブルに記載の方法に関する。
るので、もはやMOSFET(金属酸化物半導体の電界効果トランジスタ)のゲ
ート誘電体(ゲート酸化物)としてSiO2を使用することができない状況に入
っている。というのも、必要なキャパシタンスを達成するためにSiO2層を非
常に薄く作ることになり、その結果トンネル電流がそのコンポーネントの機能的
な観点から大きく増加するという欠点があるからである。その問題を避けるため
には、SiO2をより高い誘電率を持った誘電材料によって取り替える必要があ
る。その場合、SiO2より厚い誘電体層が存在する可能性がある。同様に、D
RAM(ダイナミックランダムアクセスメモリー)コンデンサのキャパシタンス
は、一方では、そのコンデンサのサイズが急速に減少しているのに、ほとんど一
定のままでなければならない。すなわち、以前に使用したSiO2およびSi3 N4を、これらより高い誘電率を持っている材料で取り替えなければならない。
ケイ素の表面で安定していなければならないこと、最も好ましくは無定形である
べきであること、そして高い後処理温度でほとんど不変で耐久性があることであ
る。特にゲート誘電体としての用途では、電気的に活性な欠陥がほとんどない状
態がケイ素と高誘電率の金属酸化物との界面に生成されるべきである。そのメモ
リ用途では、コンデンサ誘電体の構造が、高い活性化温度が適用されるときでも
、非常に安定化していなければならない。上記の事実のために、より高い誘電率
を持った金属酸化物にSiO2を混合するのが好ましい。
される方法である(特許公報、日本特許第9306906号、米国特許第4,8
45,054号、米国特許第4,981,724号、米国特許第5,462,8
99号、日本特許第20868486号、日本特許第6158329号、日本特
許第80061810号、米国特許第4,872,947号、日本特許第702
6383号、米国特許第5,855,957号、および米国特許第5,849,
644号を参照)。それらの特許公報では、主としてテトラエトキシシラン(T
EOS)がケイ素原料物質として使用されており、酸素、水、過酸化水素または
オゾンが酸素原料物質として使用されている。従来の化学蒸着では、酸素原料物
質は、常にケイ素原料物質と同時に成長基板にもたらされる。
による充分に良好な被覆性も良好な適応性もどちらも、いつも化学蒸着によって
達成されるとは限らない。
または原子層蒸着(ALD)としても知られている原子層化学蒸着(ALCVD
)の方法によって製造されるという考えに基づいている。
4085430号)。その方法によると、薄膜は、互いによく分離される飽和で
きる表面反応によって成長する。その飽和は化学吸着によってもたらされる。言
い換えれば、その反応温度は、ガス状の原料物質がその成長温度で安定していて
、さらに、その原料物質が表面で凝縮または分解しないが、その表面の反応箇所
、例えば、その酸化物表面に存在するOH基または酸素ブリッジ(M−O−M)
と選択的に反応することができるように選ばれる。水酸基が反応箇所として機能
するいわゆる配位子交換反応が起こり、その表面と原料物質の間に共有結合が形
成される(化学吸着)。その酸素ブリッジが関係すると、解離反応が起こり、そ
の反応で、やはり共有結合が形成される(化学吸着)。化学吸着によって形成さ
れるその結合は非常に強く、しかもその表面に形成される表層構造は安定してお
り、そのために1つの分子層によって表面の飽和が可能になる。その配位子交換
反応は、ガス状の、すなわち気化した原料物質を交互に反応器に誘導し、そして
その原料物質のパルスの間に反応器を不活性ガスでパージすることによって行わ
れる(T.Suntola、Thin Solid Films 215(19
92)84;Niinisto他、Materials Science an
d Engineering B 41(1996)23)。また、原子層化学
蒸着により、大きい表面積にさえ、平坦で均一な膜を成長させることができる。
したがって、平らな表面および不均質な表面の両方に、また溝のついた表面に膜
を成長させることができる。反応サイクルの数によって膜の厚さおよびその組成
物を制御することは、正確であり、しかも簡単である。
って成長させている。化合物Si(NCO)4およびN(C2H5)3(K.Y
amaguchi他、Appl.Surf.Sci.(1998)130〜13
2)が原料物質として使用されている。原料物質としてSiCl4およびH2O
を使用して分子層の原子層エピタキシ(ALE)およびUHV−原子層エピタキ
シ(ALE)の方法によって二酸化ケイ素を製造することもまた文献で知られて
いる(Surface Review and Letters、Vol.6、
Nos 3 & 4(1999)435〜448)。
提案された方法を工業規模で実現することができない。
O2を含む薄膜の成長を制御することを可能にする新しい方法を提供することで
ある。
としてオゾンのような反応性酸素源を使うことによって、上記の目的を達成する
ことができるという発見に基づいている。その提案された解決策によって、制御
された方法で二酸化ケイ素の量を変えることができる多成分酸化物を容易に作成
することができる。
たは金属の対応する原料物質からの原子層化学蒸着法(ALCVD)によって、
かつ適当な酸素源を使って多成分酸化物すなわち「第三級酸化物」を成長させて
いる限り、その多成分酸化物の成長速度はどちらの個々の酸化物の成長速度より
も速いということが分かった。したがって、本発明によると、多成分酸化物の作
成は、適当な気化したケイ素化合物を気相から成長基板に結合させ、その付着し
たケイ素化合物を二酸化ケイ素に転換させ、気化した金属化合物または気化した
別の半金属の化合物を気相からその成長基板に付着させ、その付着した金属化合
物または別の半金属の化合物を対応する酸化物に転換させることによって行われ
、それにより、そのケイ素化合物および別の半金属および/または金属の化合物
がその成長基板の上に望ましい順序で付着される。
の特徴部分に述べられていることを特徴とする。
られていることが特徴である。
ALCVD)は、高い誘電性を持っている実際の金属酸化物を成長させる前に、
二酸化ケイ素および金属酸化物の両方を含む多段式中間層を成長させる可能性を
提供する。コンデンサの誘電体の安定性は、無定形二酸化ケイ素を誘電体に混ぜ
ることによって、向上させることができる。多成分酸化物の作成およびそのこと
から達成される利点は、以下でより詳細に説明する。
るということにも注意するべきである。さらに、そのような二酸化ケイ素の材料
は、いわゆるSTI(シャロー・トレンチ・アイソレーション)構造に使用する
ことができる。シャロー・トレンチ・アイソレーションの機能は回路構造および
メモリ構造の両方で互いからトランジスタを分離することである。現在のところ
、横方向に、広いいわゆるLOCOS分離が使用されており、その分離は、かさ
ばっているために将来の回路では適当でない。シャロー・トレンチ・アイソレー
ション(STI)技術では、誘電体=二酸化ケイ素で満たされた水平の狭くて深
い溝がその回路の間にエッチングされている。その溝の深さはその幅よりも大き
いので、シャロー・トレンチ・アイソレーション(STI)は、エッチングされ
た分離溝に順応して分離溝を満たすことができる方法を必要とする。従来の化学
蒸着法(CVD)によって、シャロー・トレンチ・アイソレーション(STI)
の溝を満たすことができるが、シャロー・トレンチ・アイソレーション(STI
)の分離の途中で空隙が形成するのを避けるために、溝は上部で広げられなけれ
ばならないことがしばしばである。溝の拡大はシャロー・トレンチ・アイソレー
ション(STI)領域の増加をもたらす、すなわち、分離領域の面積が増加する
。原子層化学蒸着(ALCVD)はシャロー・トレンチ・アイソレーション(S
TI)を引き起こすための特に適当な方法である。何故なら、原子層化学蒸着(
ALCVD)は、平坦でない成長基板の上に、特に狭い溝の上にも、均一な品質
のそして空隙の形成がない二酸化ケイ素を成長させる能力に特徴があるからであ
る。したがって、原子層化学蒸着(ALCVD)を使用すると、回路間の分離領
域をより狭くすることが可能となり、回路の記録密度を増加することができる。
みヘッド/読み取りヘッドの分離層、および書き込みヘッド/読み取りヘッドの
カプセル化の分離層の両方として使用することができる。既に作られている層の
磁気特性の破壊を避けるために、すべてのステップで、処理温度は低くなければ
ならない。一般に、物理的な(スパッタ)方法がその分野で使われており、その
方法の問題は生成された膜が平坦でないということである。原子層化学蒸着(A
LCVD)には、物理的にもおよび電気的にもその両方において均質の薄い膜を
生成する能力がある。均一に覆っていて電気的に均質のSiO2の薄い膜を生成
する低温原子層化学蒸着(ALCVD)二酸化ケイ素法を使用するのが特に好ま
しい。こうして、この方法のステップの再現性と信頼性を増加することができる
。
膜蒸着方法が必要である。低い成長温度および生成された二酸化ケイ素膜の均一
性のために、原子層化学蒸着(ALCVD)二酸化ケイ素法は電界放出ディスプ
レイのために誘電層を作成するのに非常に適している。
素のラジカルのような反応性酸素源を使用することによって、二酸化ケイ素の形
成温度をかなり低下させることができる。本発明によると、特に450℃より低
い温度で、最も好ましくはせいぜい400℃で、それを操作できる。また、その
場合、同じ温度で全体の成長サイクルを達成することができ、このことは工業加
工のために重要な意味を持っている。さらに、これらの反応性酸素源を使用する
ことによって、例えば水によって転換することができない非常に広いグループの
有機ケイ素化合物が利用可能になる。 以下で、詳細な説明を用いて、本発明をより綿密に検討する。
膜を、原子層化学蒸(ALCVD)着反応器の中で、好ましくは150〜450
℃の温度で成長させる。一様に平坦な(ガラスやウエーハのような)または溝を
刻んだ平坦な材料を、基板として使用することができる。基板の表面には、また
、その上に膜が成長するいわゆるHSG(半球形の粒子)構造が存在することも
できる。さらに、大きい表面積を有する粉末状の材料を、基板として使用するこ
とができる。「成長基板」という用語は、この発明では、薄い膜が成長する表面
を意味する。その表面は、上記の基板、またはその基板の上に成長する薄い膜、
または別の構造物から成ることができる。
板の上に誘導され、その上で反応し、配位子交換反応または解離反応を経て、そ
の表面上に1つの化学吸着で吸収された分子層を形成する。反応の後に、その反
応空間を不活性ガスで注意深くパージして、未反応原料物質および反応生成物を
反応空間から除去する。この発明に関連して、少なくとも1つの有機配位子を含
むケイ素の気化可能な化合物を、ケイ素原料物質として使用する。「有機配位子
」は、水素カルビル基を表し、それは有機化合物から得られる。したがって、そ
のような配位子は、それ自身がC−C結合(例えば、エチル基)を持っているか
、または炭素を介してケイ素原子に結合しているか、またはC−H結合を持って
いる。好ましい実施形態によると、シラン、シロキサンまたはシラザンが気化可
能なケイ素化合物として使用される。これらは市販されている化合物である。
択されるのが特に好ましい。したがって、150〜400℃という上記の好まし
い温度範囲で、原子層化学蒸着法(ALCVD)を実施することができる。
ることができる、すなわち、式 SimL2m+2, (I) のシラン、ここでmは1〜3の整数、式 SiyOy−1L2y+2, (II) のシロキサン、ここでyは2〜4の整数、そして式 SiyNHy−1L2y+2, (III) のシラザン、ここでyは2〜4の整数である。
キル、アリール、アルコキシ、ビニル(−CH=CH2)、シアノ(−CN)、
アミノ、シリル(H3Si−)、アルキルシリル、アルコキシシリル、シリレン
またはアルキルシロキサンであることができ、アルキル基およびアルコキシ基は
線状または枝分れしていることができ、そして少なくとも1つの置換基を含むこ
とができる。一般的には、アルキル基およびアルコキシ基は1〜10の炭素原子
、最も好ましくは1〜6の炭素原子を含む。
キシシランNH2−CH2CH2CH2−Si(O−CH2CH3)3(AMT
ES)および3−アミノプロピルトリメトキシシラン(NH2−CH2CH2C
H2−Si(O−CH3)3(AMTES)の3−アミノアルキルトリアルコキ
シシラン、そしてヘキサアルキルジシラザン(CH3)3Si−NH−Si(C
H3)3(HMDS)のようなアミノ基が置換したシランおよびシラザンを挙げ
ることができる。
基に付着することができる新しい気相のケイ素化合物が生成されるように、気相
反応に関連して、原子層化学蒸着法(ALCVD)の間に、ケイ素化合物も生成
することができる。この発明では、この現象はケイ素化合物の「インシトゥー(
in situ)での」生成と呼ばれる。そのようなインシトゥー(in si
tu)で生成したケイ素化合物は、一般的には、シラン化合物、例えば、式Si
L1L2L3L4を有するシラン化合物からなり、ここでL1はアミノ基を表し
、そしてL2〜L4はアルキル基またはアルコキシ基を表す。例えば、0.1〜
50ミリバールの圧力下に、350〜450℃で、成長基板をヘキサ−アルキル
ジシラザンと接触させると、このシラン化合物が生成する。
、前記酸素源はそのケイ素化合物を成長表面で二酸化ケイ素へと転換させること
ができる。下記にて、オゾンを例として、本発明をより綿密に説明する。しかし
ながら、多くの場合、オゾンの代わりに、以下により正確に記載された他の酸素
原料物質も使用することができることに注意しなければならない。しかしながら
、使用したケイ素化合物のスペクトルおよび処理温度に関する限り、オゾンを使
用して、多数の利点が達成される可能性がある。
子と反応して、表面にOH基および酸素ブリッジを生成する。言い換えれば、オ
ゾンは有機配位子を燃焼させ、そしてその燃焼反応で生成した水がさらにOH基
を生成する。反応の後に、その反応空間を再び不活性ガスで非常に注意深くパー
ジして、未反応オゾンおよび反応生成物を除去する。これらの4つのステップが
一緒になって1つの成長サイクルを形成する。その成長サイクルを、膜が所望の
厚さを有するまで繰り返す。
サイクルの間に成長基板の上に若干の他の酸化物を成長させることによって達成
される。本発明の観点からいえば、酸化物化合物の成長順序は任意であり得る。
の上にその気化した金属原料物質を誘導し、基板の上で金属原料物質を反応させ
、配位子交換反応および/または解離反応を通してその表面に1つの分子層を形
成することによって、成長させる。その反応の後に、反応空間を不活性ガスで注
意深くパージして、未反応原料物質および反応生成物を反応空間から除去する。
この後で、酸素原料物質を反応空間に誘導すると、前記酸素原料物質が、化学吸
着で吸収された金属化合物錯体(例えば、ジルコニウム錯体)の残っている配位
子(例えば、塩化物配位子)と反応して、表面に新しいOH基および酸素ブリッ
ジを生成する。その反応の後に、反応空間を再び注意深くパージする。次のステ
ップでは、二酸化ケイ素の上述の成長サイクルを行うことができる。
用することができる。しかしながら、上記のアミノ化合物の他に、ケイ素のハロ
ゲン化化合物(四塩化ケイ素、四フッ化ケイ素、四ヨウ化ケイ素など)も、ケイ
素原料物質として使用するのに適しているということに注意しなければならない
。以下の特定の酸素源のどれでも、酸素源として使用することができる。しかし
ながら、最も好ましいのは水またはオゾンである。
第2の陽イオンとして機能することができる。希土類金属、すなわち、ランタン
およびランタノイドなどを含む、元素の周期律表のIIIa、IVaおよびVa
族に属する金属(遷移金属)を、IVb族の金属および半金属と同様に、それら
の金属について特に言及することができる。
属のどんな安定した気化可能な化合物も使用することができる。実施例の場合(
実施例2を参照)では、以下の金属原料物質を使用した、すなわち、アルミニウ
ム原料物質として塩化アルミニウム、チタン原料物質として四塩化チタン(Ti
Cl4)、タンタル原料物質として五塩化タンタル(TaC15)、ハフニウム
原料物質として四塩化ハフニウム(HfC14)、ジルコニウム原料物質として
四塩化物ジルコニウム(ZrC14)、イットリウム原料物質としてイットリウ
ムβ−ジケトネート(Y(thd)3)、およびランタン原料物質としてランタ
ンβ−ジケトネート(La(thd)3)である。実施例の場合では、アルミニ
ウム、チタン、ジルコニウム、ハフニウムおよびタンタルの原料物質と一緒に水
蒸気(H2O)を酸素源として使用し、ランタンおよびイットリウムの原料物質
と一緒にオゾン(O3)を酸素源として使用した。
Ox、SiTaOx、SiHfOx、SiZrOx、SiYOx、SiLaOx を、ケイ素原料物質およびオゾンの反応サイクルの数を変化させることによって
、本発明に従って成長させることができる。上の式では、酸化物の量は変化させ
ることができ、そして酸化物はいつも完全に化学量論的であるとは限らない。
酸化物のサイクルの数は1〜1000の間で、そして二酸化ケイ素のサイクルの
数も1〜1000の間で変化させることができる。金属酸化物のサイクルの数は
1〜50の間で、そして二酸化ケイ素のサイクルの数も1〜50の間で変化させ
るのが好ましい。問題の金属酸化物サイクル/二酸化ケイ素のサイクル比を、例
えば、10:1...1:10の間で変化させることによって、その混合酸化物
の性質を、制御された方法で、完全に混合した酸化物からナノラミネート(nanol
aminate)構造へ変化させることができる。
が生成されるどちらの個々の酸化物の成長率よりも高いということが分かった。
例えば、La(thd)3およびオゾンからのLa2O3の成長率は、Y(th
d)3およびオゾンからのY2O3の成長率と同様に、0.2Å/サイクルであ
り、それは、同時に、3−アミノプロピルメトキシシランおよびオゾンからのS
iO2の成長率に等しい。上述のこれら金属酸化物と二酸化ケイ素の混合酸化物
を1:1のサイクル比を使用して作成することによって、3倍を超える成長率、
0.7Å/サイクルが達成される。
、上記の二酸化ケイ素および多成分酸化物の方法における酸素源として機能する
ことができる。好ましい酸素原料物質は、例えば、水、酸素、および過酸化水素
および過酸化水素の水溶液である。有機配位子を含むケイ素化合物に対して水よ
りも反応性に富むそのような酸素源を使用するのが最も好ましい。以上のように
、特に好ましい酸素原料物質はオゾン(O3)である。オゾンはオゾン発生器に
よって発生させることができる。そしてオゾンは窒素ガス(または、同じ種類の
不活性ガス)を使って反応空間に導入するのが最も好ましい。それにより、オゾ
ンの濃度は約1〜30容積%、好ましくは約2〜25容積%である。
線状Si−C結合を形成している前記配位子を、Si−O−C結合を生成するシ
リコン原料物質の別の可能な配位子、例えばアルコキシ配位子が非抑制的には分
解しない温度で、変化させることができる。
すなわち、 −N2O、NOおよびNO2のような窒素酸化物、 −オキシハイライド化合物、例えば、二酸化塩素(ClO2)、および過塩素
酸(HCIO4) −過酸(−O−O−H)、例えば、過安息香酸(C6H5COOOH)、およ
び過酢酸(CH3COOOH) −メタノール(CH3OH)およびエタノール(CH3CH2OH)のような
アルコール、そして −様々なラジカル、例えば、酸素ラジカル(O・・)またはヒドロキシルラジ
カル(・OH)である。
SM Microchemisty Ltd.)で成長させた。3−アミノプロ
ピルトリエトキシシランNH2−CH2CH2CH2−Si(O−CH2CH3 )3(AMTES)、3−アミノプロピルトリメトキシシラン(NH2−CH2 CH2CH2−Si(O−CH3)3(AMTMS)およびヘキサメチルジシラ
ザン(CH3)3Si−NH−Si(CH3)3(HMDS)を、ケイ素原料物
質として使用した。酸素原料物質として、オゾン(O3)を使用した。AMTE
SおよびAMTMSは反応器の内部にあった。オゾンおよびHMDS(ヘキサメ
チルジシラザン)を外部から反応器の中に誘導した。AMTES(3−アミノプ
ロピルトリエトキシシラン)の反応温度は200または300℃であり、AMT
MS(3−アミノプロピルトリメトキシシラン)の反応温度は300℃、そして
HMDS(ヘキサメチルジシラザン)の反応温度は400℃であった。
パルスを使って、AMTES(3−アミノプロピルトリエトキシシラン)からS
iO2を成長させた。そのパルスの間に、反応空間にその両原料物質が同時に存
在していないように反応空間を注意深くパージした。AMTES(3−アミノプ
ロピルトリエトキシシラン)のパルスの持続時間は1.0秒であり、そのパージ
のパルスの持続時間は2秒であった。オゾンのパルスの持続時間は4.0秒であ
り、そのパージのパルスの持続時間は4.0秒であった。SiO2の成長速度は
、300℃の反応温度で0.15Å/反応サイクルおよび200℃の反応温度で
0.28Å/サイクルであった。300℃で成長した二酸化ケイ素の屈折率は1
.4であった。原料物質としてAMTMS(3−アミノプロピルトリメトキシシ
ラン)を使用して、パルスする時間は、それぞれ、0.5秒、0.5秒、2.0
秒および1.0秒であり、その成長速度は0.16Å/反応サイクルであった。
メチルジシラザン)のパルスの持続時間は0.5秒であり、そのパージのパルス
の持続時間は2秒であった。オゾンのパルスの持続時間は2.5秒であり、その
パージのパルスの持続時間は1秒であった。その成長速度は0.17Åであり、
その屈折率の値は1.48〜1.57の間で変化した。
を成長させるのに、気化可能なケイ素原料物質と共にオゾンを使用することがで
きる。ケイ素原料物質については、酸素源としてオゾンを使用する場合、AMT
ES(3−アミノプロピルトリエトキシシラン)およびAMTMS(3−アミノ
プロピルトリメトキシシラン)の利点は低い反応温度である。金属塩化物以外に
は、分解することなく、350℃を超える反応温度に耐えないので、これが、さ
らに多成分酸化物の作成を可能にする。
を使用して、上記反応器内で、多成分酸化物を成長させた。その成長方法で、A
MTMS(3−アミノプロピルトリメトキシシラン)のパルスは0.5秒、その
パージのパルスは0.5秒、オゾンのパルスは3.5秒そしてそのパージのパル
スは1秒であった。その金属原料物質のパルスは同様に0.5秒であり、そのパ
ージのパルスは0.5秒であった。水を酸素源として使用した場合は、水のパル
スの持続時間は0.2秒であり、そのパージのパルスの持続時間は0.5秒であ
った。金属原料物質と共にオゾンを使用すると、オゾンのパルスの持続時間は3
.5秒であり、そのパージのパルスの持続時間は0.5秒であった。その成長速
度およびサイクル比を以下の表に示す。
膜のサンプルを、3つの異なる点で分析したところ、多成分酸化物が非常に均質
であることを示した。さらに、多成分酸化物が非常に均一であったという点は、
成長の化学反応が好ましいときには、原子層化学蒸着法(ALCVD)にとって
典型的なものである。
Claims (29)
- 【請求項1】 原子層化学蒸着法を使用することによって、基板に二酸化ケ
イ素を含む薄い膜を生成させる方法であって、 −気化可能なケイ素化合物を成長基板に付着させ、 −その付着したケイ素化合物を二酸化ケイ素に転換させる方法において、 −少なくとも1つの有機配位子を含むケイ素化合物を使用すること、および −酸素の気化した反応源と接触させることによって、その付着したケイ素化合
物を二酸化ケイ素に転換させることの組合せを特徴とする方法。 - 【請求項2】 シラン、シロキサンまたはシラザンを気化可能なケイ素化合
物として使用することを特徴とする請求項1に記載の方法。 - 【請求項3】 気化可能なケイ素化合物の沸点が10ミリバールの圧力でせ
いぜい400℃であることを特徴とする請求項1または2に記載の方法。 - 【請求項4】 ケイ素試薬の式は、 SimL2m+2, (I) (ここでmは1〜3の整数である)、または SiyOy−1L2y+2, (II) (ここでyは2〜4の整数である)、または SiyNHy−1L2y+2, (III) (ここでyは2〜4の整数である)であり、 式(I)〜(III)において、各Lは、独立して、F、Cl、Br、I、ア
ルキル、アリール、アルコキシ、ビニル(−CH=CH2)、シアノ(−CN)
、アミノ、シリル(H3Si−)、アルキルシリル、アルコキシシリル、シリレ
ンまたはアルキルシロキサンであることができ、そのアルキル基またはアルコキ
シ基は線状または枝分れしていることができ、しかも少なくとも1つの置換基を
含むことができることを特徴とする請求項1から3のいずれかに記載の方法。 - 【請求項5】 アルキル基およびアルコキシ基の両方を含み、少なくともそ
の1つが置換されていてもよい化合物をケイ素化合物として使用することを特徴
とする前記請求項のいずれかに記載の方法。 - 【請求項6】 ケイ素化合物として3−アミノアルキルトリアルコキシシラ
ンまたはヘキサ−アルキルジシラザンを使用し、前記アルキル基およびアルコキ
シ基が1〜10の炭素原子を含むことを特徴とする請求項5に記載の方法。 - 【請求項7】 その表面に水酸基および場合により酸化物基を有する成長基
板を使用し、それによりケイ素化合物をその水酸基および場合によりその酸化物
基と反応させることを特徴とする前記請求項のいずれかに記載の方法。 - 【請求項8】 水酸基および任意に酸化物基と反応することができる気相ケ
イ素化合物がインシトゥーで生成されることを特徴とする請求項7に記載の方法
。 - 【請求項9】 インシトゥーで生成したケイ素化合物がシラン化合物からな
ることを特徴とする請求項8に記載の方法。 - 【請求項10】 シラン化合物の式がSiL1L2L3L4であり、L1が
アミノ基を表し、L2〜L4がアルキル基またはアルコキシ基を表すことを特徴
とする請求項9に記載の方法。 - 【請求項11】 気相ケイ素化合物を、成長基板をヘキサ−アルキルジシラ
ザンと0.1〜50ミリバールの圧力において350〜450℃の温度で接触さ
せることによって生成させることを特徴とする請求項8から10に記載の方法。 - 【請求項12】 使用する反応性酸素試薬は水、酸素、過酸化水素、過酸化
水素の水溶液またはオゾンおよびそれらの混合物であることを特徴とする前記請
求項のいずれかに記載の方法。 - 【請求項13】 反応性酸素源は、 −N2O、NO、およびNO2のような窒素酸化物、 −二酸化塩素(ClO2)および過塩素酸(HClO4)のようなオキシハラ
イド化合物、 −過安息香酸(C6H5COOOH)および過酢酸(CH3COOOH)のよ
うな過酸(−O−O−H)、 −メタノール(CH3OH)およびエタノール(CH3CH2OH)のような
アルコール、ならびに −酸素ラジカル(O・・)またはヒドロキシルラジカル(・OH)であること
を特徴とする請求項1から11のいずれかに記載の方法。 - 【請求項14】 少なくとも1つの有機配位子を含むケイ素化合物を使用し
、付着したケイ素化合物を、1〜30容積%のオゾン濃度を有するオゾン含有ガ
スと接触させることにより二酸化ケイ素に転換させることを特徴とする前記請求
項のいずれかに記載の方法。 - 【請求項15】 ケイ素化合物の成長基板への付着および付着したケイ素化
合物の二酸化ケイ素への転換を本質的に同じ温度で行うことを特徴とする前記請
求項のいずれかに記載の方法。 - 【請求項16】 二酸化ケイ素膜を成長基板の上に成長させることを特徴と
する前記請求項のいずれかに記載の方法。 - 【請求項17】 二酸化ケイ素を含む混合酸化物膜を成長基板の上に成長さ
せることを特徴とする請求項1から15のいずれかに記載の方法。 - 【請求項18】 ジルコニウム、チタン、ハフニウム、タンタル、アルミニ
ウム、イットリウムおよび/またはランタンの酸化物を含む混合酸化物膜を成長
させることを特徴とする請求項17に記載の方法。 - 【請求項19】 ジルコニウム、チタン、ハフニウムおよびタンタルの酸化
物の成長のために使用する試薬はこれらの元素の気化可能なハロゲン化化合物を
含み、そして使用する反応性酸素源は水を含むことを特徴とする請求項18に記
載の方法。 - 【請求項20】 成長基板の上に、二酸化ケイ素を含む混合酸化物の薄膜を
生成させる方法であって、混合酸化物の薄膜を、原子層化学蒸着法を使用して、 −成長基板の上に気化したケイ素化合物を気相から付着させることによって、 −付着したケイ素化合物を二酸化ケイ素に転換させることによって、 −成長基板に気化した金属化合物または別の半金属の化合物を気相から付着さ
せることによって、そして −付着した金属化合物または半金属化合物を対応する酸化物に転換させること
によって成長させ、ケイ素化合物および別の半金属および/または金属の化合物
を望ましい順序でその成長媒体に付着させることを特徴とする方法。 - 【請求項21】 ケイ素化合物および別の半金属および/または金属の化合
物を交互に成長基板の上に付着させることを特徴とする請求項20に記載の方法
。 - 【請求項22】 ケイ素化合物としてケイ素のハロゲン化化合物またはアミ
ノ化合物を使用することを特徴とする請求項20または21に記載の方法。 - 【請求項23】 少なくとも1つの有機配位子を含む化合物をケイ素化合物
として使用することを特徴とする請求項20または21に記載の方法。 - 【請求項24】 付着した珪素化合物を反応性酸素源と接触させることによ
りケイ素化合物を二酸化ケイ素に転換させることを特徴とする請求項20から2
3のいずれかに記載の方法。 - 【請求項25】 気化可能なケイ素化合物としてシラン、シロキサンまたは
シラザンを使用することを特徴とする請求項20から24のいずれかに記載の方
法。 - 【請求項26】 気化可能なケイ素化合物の沸点が10ミリバールの圧力で
せいぜい400℃であることを特徴とする請求項25に記載の方法。 - 【請求項27】 ケイ素試薬の式が、 SimL2m+2, (I) (ここでmは1〜3の整数である)、または、 SiyOy−1L2y+2, (II) (ここでyは2〜4の整数である)、または、 SiyNHy−1L2y+2, (III) (ここでyは2〜4の整数である)であり、 式(I)〜(III)において、各Lは、独立して、F、Cl、Br、I、ア
ルキル、アリ−ル、アルコキシ、ビニル(−CH=CH2)、シアノ(−CN)
、アミノ、シリル(H3Si−)、アルキルシリル、アルコキシシリル、シリレ
ンまたはアルキルシロキサンであることができ、そのアルキル基およびアルコキ
シ基は線状または枝分れすることができ、しかも少なくとも1つの置換基を含む
ことができることを特徴とする請求項25または26に記載の方法。 - 【請求項28】 ケイ素酸化物に加えて、ジルコニウム、チタン、ハフニウ
ム、タンタル、アルミニウム、イットリウムおよび/またはランタンの酸化物を
含む混合酸化物膜を成長させることを特徴とする請求項20から27のいずれか
に記載の方法。 - 【請求項29】 アルミニウム、ジルコニウム、チタン、ハフニウム、およ
びタンタルの酸化物の成長のために出発試薬として、これら元素の気化可能なハ
ロゲン化化合物を、また反応性酸素源として水を使用することを特徴とする請求
項28に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
FI19992616 | 1999-12-03 | ||
FI992616A FI118804B (fi) | 1999-12-03 | 1999-12-03 | Menetelmä oksidikalvojen kasvattamiseksi |
PCT/FI2000/001072 WO2001040541A1 (en) | 1999-12-03 | 2000-12-04 | Atomic-layer-chemical-vapor-deposition of films that contain silicon dioxide |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2003515674A true JP2003515674A (ja) | 2003-05-07 |
JP2003515674A5 JP2003515674A5 (ja) | 2008-01-31 |
JP5420129B2 JP5420129B2 (ja) | 2014-02-19 |
Family
ID=8555703
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001542604A Expired - Lifetime JP5420129B2 (ja) | 1999-12-03 | 2000-12-04 | 二酸化ケイ素を含む膜の原子層化学蒸着 |
Country Status (8)
Country | Link |
---|---|
US (4) | US7771533B2 (ja) |
EP (1) | EP1248865B1 (ja) |
JP (1) | JP5420129B2 (ja) |
KR (2) | KR100731394B1 (ja) |
AU (1) | AU2374301A (ja) |
FI (1) | FI118804B (ja) |
TW (1) | TWI226380B (ja) |
WO (1) | WO2001040541A1 (ja) |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003257967A (ja) * | 2002-03-05 | 2003-09-12 | Tri Chemical Laboratory Inc | 膜形成材料、膜形成方法、及び素子 |
JP2006118669A (ja) * | 2004-10-25 | 2006-05-11 | Sanoh Industrial Co Ltd | 樹脂チューブ |
JP2007501902A (ja) * | 2003-05-09 | 2007-02-01 | エーエスエム アメリカ インコーポレイテッド | 化学的不活性化を通じたリアクタ表面のパシベーション |
JP2007180544A (ja) * | 2006-12-19 | 2007-07-12 | Tri Chemical Laboratory Inc | 膜形成材料、膜形成方法、及び素子 |
JP2007521658A (ja) * | 2003-07-07 | 2007-08-02 | マイクロン テクノロジー,インコーポレイテッド | リンでドープした二酸化ケイ素含有層の形成方法及び集積回路の作製におけるトレンチ分離の形成方法 |
JP2008109091A (ja) * | 2006-09-28 | 2008-05-08 | Tokyo Electron Ltd | シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム |
JP2008533731A (ja) * | 2005-03-17 | 2008-08-21 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | シリコン酸化物含有膜の形成方法 |
JP2010056582A (ja) * | 2009-12-10 | 2010-03-11 | Tokyo Electron Ltd | 誘電体膜の形成方法 |
JP2017092475A (ja) * | 2015-11-12 | 2017-05-25 | エーエスエム アイピー ホールディング ビー.ブイ. | SiOCN薄膜の形成 |
JP2021040060A (ja) * | 2019-09-04 | 2021-03-11 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理システム、およびプログラム |
Families Citing this family (511)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6342277B1 (en) * | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6273951B1 (en) | 1999-06-16 | 2001-08-14 | Micron Technology, Inc. | Precursor mixtures for use in preparing layers on substrates |
FI118804B (fi) * | 1999-12-03 | 2008-03-31 | Asm Int | Menetelmä oksidikalvojen kasvattamiseksi |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
KR100815009B1 (ko) | 2000-09-28 | 2008-03-18 | 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 | 산화물, 규산염 및 인산염의 증기를 이용한 석출 |
WO2002081691A2 (en) * | 2000-11-20 | 2002-10-17 | Tularik Inc. | Abcg5 and abcg8: compositions and methods of use |
US6765178B2 (en) | 2000-12-29 | 2004-07-20 | Applied Materials, Inc. | Chamber for uniform substrate heating |
US6825447B2 (en) | 2000-12-29 | 2004-11-30 | Applied Materials, Inc. | Apparatus and method for uniform substrate heating and contaminate collection |
US6811814B2 (en) | 2001-01-16 | 2004-11-02 | Applied Materials, Inc. | Method for growing thin films by catalytic enhancement |
US7087482B2 (en) * | 2001-01-19 | 2006-08-08 | Samsung Electronics Co., Ltd. | Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US9139906B2 (en) | 2001-03-06 | 2015-09-22 | Asm America, Inc. | Doping with ALD technology |
US6734020B2 (en) | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
US6770923B2 (en) | 2001-03-20 | 2004-08-03 | Freescale Semiconductor, Inc. | High K dielectric film |
US6541280B2 (en) | 2001-03-20 | 2003-04-01 | Motorola, Inc. | High K dielectric film |
EP1388178A2 (en) * | 2001-05-14 | 2004-02-11 | CDT Oxford Limited | A method of providing a layer including a metal or silicon or germanium and oxygen on a surface |
SE522735C2 (sv) | 2001-05-30 | 2004-03-02 | Sandvik Ab | Aluminiumoxidbelagt skärverktyg |
US6391803B1 (en) * | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
JP4921652B2 (ja) * | 2001-08-03 | 2012-04-25 | エイエスエム インターナショナル エヌ.ヴェー. | イットリウム酸化物およびランタン酸化物薄膜を堆積する方法 |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US6729824B2 (en) | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
US6827978B2 (en) | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
KR100468729B1 (ko) * | 2002-04-25 | 2005-01-29 | 삼성전자주식회사 | Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법 |
US6858547B2 (en) * | 2002-06-14 | 2005-02-22 | Applied Materials, Inc. | System and method for forming a gate dielectric |
US20030232501A1 (en) | 2002-06-14 | 2003-12-18 | Kher Shreyas S. | Surface pre-treatment for enhancement of nucleation of high dielectric constant materials |
US7067439B2 (en) * | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
KR100505668B1 (ko) * | 2002-07-08 | 2005-08-03 | 삼성전자주식회사 | 원자층 증착 방법에 의한 실리콘 산화막 형성 방법 |
KR100464855B1 (ko) * | 2002-07-26 | 2005-01-06 | 삼성전자주식회사 | 박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법 |
US6967159B2 (en) * | 2002-08-28 | 2005-11-22 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using organic amines |
US6995081B2 (en) * | 2002-08-28 | 2006-02-07 | Micron Technology, Inc. | Systems and methods for forming tantalum silicide layers |
US6784049B2 (en) * | 2002-08-28 | 2004-08-31 | Micron Technology, Inc. | Method for forming refractory metal oxide layers with tetramethyldisiloxane |
US8617312B2 (en) * | 2002-08-28 | 2013-12-31 | Micron Technology, Inc. | Systems and methods for forming layers that contain niobium and/or tantalum |
US7030042B2 (en) | 2002-08-28 | 2006-04-18 | Micron Technology, Inc. | Systems and methods for forming tantalum oxide layers and tantalum precursor compounds |
US6730164B2 (en) * | 2002-08-28 | 2004-05-04 | Micron Technology, Inc. | Systems and methods for forming strontium- and/or barium-containing layers |
US6794284B2 (en) | 2002-08-28 | 2004-09-21 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using disilazanes |
US7540920B2 (en) * | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
US7097886B2 (en) | 2002-12-13 | 2006-08-29 | Applied Materials, Inc. | Deposition process for high aspect ratio trenches |
US7084076B2 (en) * | 2003-02-27 | 2006-08-01 | Samsung Electronics, Co., Ltd. | Method for forming silicon dioxide film using siloxane |
US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
US7914847B2 (en) | 2003-05-09 | 2011-03-29 | Asm America, Inc. | Reactor surface passivation through chemical deactivation |
US7399388B2 (en) | 2003-07-25 | 2008-07-15 | Applied Materials, Inc. | Sequential gas flow oxide deposition technique |
US7166528B2 (en) | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US7087497B2 (en) | 2004-03-04 | 2006-08-08 | Applied Materials | Low-thermal-budget gapfill process |
JP4800627B2 (ja) * | 2004-03-24 | 2011-10-26 | セイコーエプソン株式会社 | 強誘電体メモリ素子 |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
KR100578819B1 (ko) * | 2004-07-15 | 2006-05-11 | 삼성전자주식회사 | 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법 |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7648927B2 (en) | 2005-06-21 | 2010-01-19 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7402534B2 (en) | 2005-08-26 | 2008-07-22 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
US7674337B2 (en) | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US7825038B2 (en) * | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US7790634B2 (en) * | 2006-05-30 | 2010-09-07 | Applied Materials, Inc | Method for depositing and curing low-k films for gapfill and conformal film applications |
US7902080B2 (en) * | 2006-05-30 | 2011-03-08 | Applied Materials, Inc. | Deposition-plasma cure cycle process to enhance film quality of silicon dioxide |
US20070277734A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US20070289534A1 (en) * | 2006-05-30 | 2007-12-20 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US20070281106A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7498273B2 (en) * | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US8232176B2 (en) * | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US7795160B2 (en) * | 2006-07-21 | 2010-09-14 | Asm America Inc. | ALD of metal silicate films |
JP5090451B2 (ja) | 2006-07-31 | 2012-12-05 | アプライド マテリアルズ インコーポレイテッド | 炭素含有シリコンエピタキシャル層の形成方法 |
JP2010506408A (ja) | 2006-10-05 | 2010-02-25 | エーエスエム アメリカ インコーポレイテッド | 金属シリケート膜のald |
US8338273B2 (en) * | 2006-12-15 | 2012-12-25 | University Of South Carolina | Pulsed selective area lateral epitaxy for growth of III-nitride materials over non-polar and semi-polar substrates |
US8476125B2 (en) * | 2006-12-15 | 2013-07-02 | University Of South Carolina | Fabrication technique for high frequency, high power group III nitride electronic devices |
US20090041952A1 (en) * | 2007-08-10 | 2009-02-12 | Asm Genitech Korea Ltd. | Method of depositing silicon oxide films |
US7745352B2 (en) * | 2007-08-27 | 2010-06-29 | Applied Materials, Inc. | Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process |
US7867923B2 (en) * | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7943531B2 (en) * | 2007-10-22 | 2011-05-17 | Applied Materials, Inc. | Methods for forming a silicon oxide layer over a substrate |
US7541297B2 (en) * | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US7803722B2 (en) * | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
US20090120584A1 (en) * | 2007-11-08 | 2009-05-14 | Applied Materials, Inc. | Counter-balanced substrate support |
US20090120368A1 (en) * | 2007-11-08 | 2009-05-14 | Applied Materials, Inc. | Rotating temperature controlled substrate pedestal for film uniformity |
US7964040B2 (en) * | 2007-11-08 | 2011-06-21 | Applied Materials, Inc. | Multi-port pumping system for substrate processing chambers |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
JP2009253195A (ja) | 2008-04-10 | 2009-10-29 | Toshiba Corp | 半導体装置の製造方法、及び半導体装置 |
US8357435B2 (en) * | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US20090277587A1 (en) * | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US20090325391A1 (en) * | 2008-06-30 | 2009-12-31 | Asm International Nv | Ozone and teos process for silicon oxide deposition |
US8491967B2 (en) | 2008-09-08 | 2013-07-23 | Applied Materials, Inc. | In-situ chamber treatment and deposition process |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US20100081293A1 (en) * | 2008-10-01 | 2010-04-01 | Applied Materials, Inc. | Methods for forming silicon nitride based film or silicon carbon based film |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US20100136313A1 (en) * | 2008-12-01 | 2010-06-03 | Asm Japan K.K. | Process for forming high resistivity thin metallic film |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8980382B2 (en) * | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US7935643B2 (en) * | 2009-08-06 | 2011-05-03 | Applied Materials, Inc. | Stress management for tensile films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US7989365B2 (en) * | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
TWI392759B (zh) * | 2009-09-28 | 2013-04-11 | Univ Nat Taiwan | 透明導電薄膜及其形成方法 |
US20110136347A1 (en) * | 2009-10-21 | 2011-06-09 | Applied Materials, Inc. | Point-of-use silylamine generation |
US8449942B2 (en) * | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
SG181670A1 (en) * | 2009-12-30 | 2012-07-30 | Applied Materials Inc | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
US20110159213A1 (en) * | 2009-12-30 | 2011-06-30 | Applied Materials, Inc. | Chemical vapor deposition improvements through radical-component modification |
US8329262B2 (en) * | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
US8647992B2 (en) * | 2010-01-06 | 2014-02-11 | Applied Materials, Inc. | Flowable dielectric using oxide liner |
JP2013516788A (ja) | 2010-01-07 | 2013-05-13 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvd用のインサイチュオゾン硬化 |
US8293658B2 (en) | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
JP2013521650A (ja) * | 2010-03-05 | 2013-06-10 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvdによる共形層 |
US8236708B2 (en) | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
US7994019B1 (en) | 2010-04-01 | 2011-08-09 | Applied Materials, Inc. | Silicon-ozone CVD with reduced pattern loading using incubation period deposition |
US8476142B2 (en) | 2010-04-12 | 2013-07-02 | Applied Materials, Inc. | Preferential dielectric gapfill |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US8524004B2 (en) | 2010-06-16 | 2013-09-03 | Applied Materials, Inc. | Loadlock batch ozone cure |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10707082B2 (en) | 2011-07-06 | 2020-07-07 | Asm International N.V. | Methods for depositing thin films comprising indium nitride by atomic layer deposition |
US9223203B2 (en) | 2011-07-08 | 2015-12-29 | Asm International N.V. | Microcontact printed films as an activation layer for selective atomic layer deposition |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US8796097B2 (en) | 2012-04-26 | 2014-08-05 | University Of South Carolina | Selectively area regrown III-nitride high electron mobility transistor |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US9023427B2 (en) * | 2012-05-16 | 2015-05-05 | Asm Ip Holding B.V. | Methods for forming multi-component thin films |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
KR101512315B1 (ko) * | 2012-10-02 | 2015-04-15 | 모진희 | 실리콘이 포함된 도금액 및 이를 이용한 실리콘이 도금된 기판 제조방법 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9505737B2 (en) | 2013-01-11 | 2016-11-29 | Corsair Pharma, Inc. | Treprostinil derivative compounds and methods of using same |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9324559B2 (en) * | 2013-03-15 | 2016-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thin film deposition apparatus with multi chamber design and film deposition methods |
KR102052664B1 (ko) | 2013-03-15 | 2019-12-06 | 삼성전자주식회사 | 트리알킬실란 계열의 실리콘 전구체 및 이를 이용하는 박막 형성 방법 |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10121671B2 (en) | 2015-08-28 | 2018-11-06 | Applied Materials, Inc. | Methods of depositing metal films using metal oxyhalide precursors |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102378021B1 (ko) | 2016-05-06 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 박막의 형성 |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10703915B2 (en) * | 2016-09-19 | 2020-07-07 | Versum Materials Us, Llc | Compositions and methods for the deposition of silicon oxide films |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10847529B2 (en) | 2017-04-13 | 2020-11-24 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by the same |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
JP7249952B2 (ja) | 2017-05-05 | 2023-03-31 | エーエスエム アイピー ホールディング ビー.ブイ. | 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
WO2019104021A1 (en) | 2017-11-21 | 2019-05-31 | Watlow Electric Manufacturing Company | Ceramic pedestal having atomic protective layer |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
DE102018124675A1 (de) | 2017-11-30 | 2019-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen |
US10748760B2 (en) * | 2017-11-30 | 2020-08-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Varying temperature anneal for film and structures formed thereby |
TWI761636B (zh) | 2017-12-04 | 2022-04-21 | 荷蘭商Asm Ip控股公司 | 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10978293B2 (en) * | 2018-03-28 | 2021-04-13 | Meidensha Corporation | Oxide film formation method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
JP7254044B2 (ja) * | 2020-03-25 | 2023-04-07 | 株式会社Kokusai Electric | 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US20220220132A1 (en) * | 2020-12-29 | 2022-07-14 | American Air Liquide, Inc. | Organosilane precursors for ald/cvd/sod of silicon-containing film applications |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0382769A (ja) * | 1989-08-28 | 1991-04-08 | Dainippon Screen Mfg Co Ltd | シリコン酸化膜形成方法およびその装置 |
JPH03286531A (ja) * | 1990-04-02 | 1991-12-17 | Kawasaki Steel Corp | シリコン酸化膜の形成方法 |
JPH05102189A (ja) * | 1991-08-13 | 1993-04-23 | Fujitsu Ltd | 薄膜形成方法、シリコン薄膜及びシリコン薄膜トランジスタの形成方法 |
JPH05299412A (ja) * | 1992-04-23 | 1993-11-12 | Kojundo Chem Lab Co Ltd | 半導体装置のシリコン酸化膜の製造法 |
JPH06314654A (ja) * | 1993-04-30 | 1994-11-08 | Sharp Corp | 半導体装置の製造方法 |
JPH0758100A (ja) * | 1993-08-10 | 1995-03-03 | Kawasaki Steel Corp | 半導体装置の製造方法 |
JP2002541332A (ja) * | 1999-04-14 | 2002-12-03 | アーサー シャーマン | シーケンシャル化学気相成長法 |
Family Cites Families (52)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5949687B2 (ja) * | 1977-05-30 | 1984-12-04 | 株式会社東芝 | 半導体装置 |
US4467238A (en) * | 1981-09-03 | 1984-08-21 | General Electric Company | High-pressure sodium lamp with improved IR reflector |
JPS6065712A (ja) * | 1983-09-20 | 1985-04-15 | Toshiba Corp | 酸化けい素被膜の形成方法 |
US5187241A (en) | 1990-05-15 | 1993-02-16 | International Business Machines Corporation | Isoimide modifications of a polyimide and reaction thereof with nucleophiles |
RU2082258C1 (ru) * | 1991-08-14 | 1997-06-20 | Сименс АГ | Схемная структура с по меньшей мере одним конденсатором и способ ее изготовления |
US5480818A (en) | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
MX9303141A (es) | 1992-05-28 | 1994-04-29 | Polar Materials Inc | Metodos y aparatos para depositar recubrimientos de barrera. |
JPH0680413A (ja) * | 1992-08-27 | 1994-03-22 | Toshiro Maruyama | 二酸化珪素膜の化学気相成長法 |
US5459108A (en) | 1992-10-06 | 1995-10-17 | Sharp Kabushiki Kaisha | Normal pressure CVD process for manufacture of a semiconductor device through reaction of a nitrogen containing organic source with ozone |
JP3124861B2 (ja) | 1993-03-24 | 2001-01-15 | 富士通株式会社 | 薄膜成長方法および半導体装置の製造方法 |
FI92897C (fi) * | 1993-07-20 | 1995-01-10 | Planar International Oy Ltd | Menetelmä kerrosrakenteen valmistamiseksi elektroluminenssikomponentteja varten |
JP3618110B2 (ja) | 1993-08-30 | 2005-02-09 | 株式会社デンソー | エレクトロルミネッセンス素子の製法 |
DE4437752A1 (de) | 1994-10-21 | 1996-04-25 | Basf Ag | Verfahren zur Herstellung von siliciumoxidbeschichteten Feststoffteilchen |
FI100409B (fi) | 1994-11-28 | 1997-11-28 | Asm Int | Menetelmä ja laitteisto ohutkalvojen valmistamiseksi |
FI97731C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
US6006763A (en) | 1995-01-11 | 1999-12-28 | Seiko Epson Corporation | Surface treatment method |
US5536673A (en) | 1995-07-26 | 1996-07-16 | United Microelectronics Corporation | Method for making dynamic random access memory (DRAM) cells having large capacitor electrode plates for increased capacitance |
US5603750A (en) * | 1995-08-14 | 1997-02-18 | Minnesota Mining And Manufacturing Company | Fluorocarbon fluids as gas carriers to aid in precious and base metal heap leaching operations |
US5891744A (en) | 1996-01-29 | 1999-04-06 | Micron Technology, Inc. | Method of monitoring a process of manufacturing a semiconductor wafer including hemispherical grain polysilicon |
US6313035B1 (en) * | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
JP3317387B2 (ja) * | 1996-06-03 | 2002-08-26 | シャープ株式会社 | アクティブマトリクス基板およびその製造方法 |
JP3286531B2 (ja) | 1996-07-23 | 2002-05-27 | 三洋電機株式会社 | 動画像符号化方法及び動画像符号化装置 |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US5879459A (en) * | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
WO1998044544A1 (en) | 1997-04-03 | 1998-10-08 | W.L. Gore & Associates, Inc. | Low dielectric constant material with improved dielectric strength |
US6090442A (en) * | 1997-04-14 | 2000-07-18 | University Technology Corporation | Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry |
US5972430A (en) | 1997-11-26 | 1999-10-26 | Advanced Technology Materials, Inc. | Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer |
TW439151B (en) | 1997-12-31 | 2001-06-07 | Samsung Electronics Co Ltd | Method for forming conductive layer using atomic layer deposition process |
KR100269328B1 (ko) * | 1997-12-31 | 2000-10-16 | 윤종용 | 원자층 증착 공정을 이용하는 도전층 형성방법 |
FR2774775B1 (fr) | 1998-02-09 | 2000-04-07 | Inst Francais Du Petrole | Dispositif d'emission sismique immergeable et methode pour sa mise en oeuvre |
EP1148052A3 (en) * | 1998-10-09 | 2003-10-15 | Mitsui Chemicals, Inc. | 1,3-dialkyl-2-imidazolidinones and a manufacturing process therefor |
KR100327328B1 (ko) | 1998-10-13 | 2002-05-09 | 윤종용 | 부분적으로다른두께를갖는커패시터의유전막형성방버뵤 |
US6350199B1 (en) | 1999-03-16 | 2002-02-26 | International Game Technology | Interactive gaming machine and method with customized game screen presentation |
US6613383B1 (en) * | 1999-06-21 | 2003-09-02 | Regents Of The University Of Colorado | Atomic layer controlled deposition on particle surfaces |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
FI118804B (fi) * | 1999-12-03 | 2008-03-31 | Asm Int | Menetelmä oksidikalvojen kasvattamiseksi |
US6537613B1 (en) | 2000-04-10 | 2003-03-25 | Air Products And Chemicals, Inc. | Process for metal metalloid oxides and nitrides with compositional gradients |
US6849305B2 (en) | 2000-04-28 | 2005-02-01 | Ekc Technology, Inc. | Photolytic conversion process to form patterned amorphous film |
US7141278B2 (en) | 2000-06-08 | 2006-11-28 | Asm Genitech Korea Ltd. | Thin film forming method |
KR100815009B1 (ko) | 2000-09-28 | 2008-03-18 | 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 | 산화물, 규산염 및 인산염의 증기를 이용한 석출 |
JP4921652B2 (ja) * | 2001-08-03 | 2012-04-25 | エイエスエム インターナショナル エヌ.ヴェー. | イットリウム酸化物およびランタン酸化物薄膜を堆積する方法 |
JP2003082464A (ja) | 2001-09-10 | 2003-03-19 | Mitsubishi Electric Corp | 化学気相成長法用液体原料、化学気相成長法による膜形成方法、および、化学気相成長装置 |
DE10208450B4 (de) * | 2002-02-27 | 2004-09-16 | Infineon Technologies Ag | Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen |
US7045170B1 (en) * | 2002-04-03 | 2006-05-16 | Sandia Corporation | Anti-stiction coating for microelectromechanical devices |
US7045430B2 (en) | 2002-05-02 | 2006-05-16 | Micron Technology Inc. | Atomic layer-deposited LaAlO3 films for gate dielectrics |
TW200408015A (en) | 2002-08-18 | 2004-05-16 | Asml Us Inc | Atomic layer deposition of high K metal silicates |
JP4212435B2 (ja) | 2003-08-29 | 2009-01-21 | 株式会社東芝 | 半導体装置およびその製造方法 |
US6818517B1 (en) | 2003-08-29 | 2004-11-16 | Asm International N.V. | Methods of depositing two or more layers on a substrate in situ |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US20060211259A1 (en) | 2005-03-21 | 2006-09-21 | Maes Jan W | Silicon oxide cap over high dielectric constant films |
US8501637B2 (en) * | 2007-12-21 | 2013-08-06 | Asm International N.V. | Silicon dioxide thin films by ALD |
-
1999
- 1999-12-03 FI FI992616A patent/FI118804B/fi active
-
2000
- 2000-12-01 TW TW089125637A patent/TWI226380B/zh not_active IP Right Cessation
- 2000-12-04 KR KR1020077000137A patent/KR100731394B1/ko active IP Right Grant
- 2000-12-04 JP JP2001542604A patent/JP5420129B2/ja not_active Expired - Lifetime
- 2000-12-04 AU AU23743/01A patent/AU2374301A/en not_active Abandoned
- 2000-12-04 WO PCT/FI2000/001072 patent/WO2001040541A1/en active Application Filing
- 2000-12-04 US US10/148,525 patent/US7771533B2/en not_active Expired - Fee Related
- 2000-12-04 EP EP00987492.6A patent/EP1248865B1/en not_active Expired - Lifetime
- 2000-12-04 KR KR1020027006929A patent/KR100743768B1/ko active IP Right Grant
-
2003
- 2003-10-03 US US10/678,766 patent/US7824492B2/en not_active Expired - Fee Related
-
2006
- 2006-12-22 US US11/615,827 patent/US7771534B2/en not_active Expired - Lifetime
-
2010
- 2010-11-01 US US12/917,307 patent/US9514956B2/en not_active Expired - Lifetime
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0382769A (ja) * | 1989-08-28 | 1991-04-08 | Dainippon Screen Mfg Co Ltd | シリコン酸化膜形成方法およびその装置 |
JPH03286531A (ja) * | 1990-04-02 | 1991-12-17 | Kawasaki Steel Corp | シリコン酸化膜の形成方法 |
JPH05102189A (ja) * | 1991-08-13 | 1993-04-23 | Fujitsu Ltd | 薄膜形成方法、シリコン薄膜及びシリコン薄膜トランジスタの形成方法 |
JPH05299412A (ja) * | 1992-04-23 | 1993-11-12 | Kojundo Chem Lab Co Ltd | 半導体装置のシリコン酸化膜の製造法 |
JPH06314654A (ja) * | 1993-04-30 | 1994-11-08 | Sharp Corp | 半導体装置の製造方法 |
JPH0758100A (ja) * | 1993-08-10 | 1995-03-03 | Kawasaki Steel Corp | 半導体装置の製造方法 |
JP2002541332A (ja) * | 1999-04-14 | 2002-12-03 | アーサー シャーマン | シーケンシャル化学気相成長法 |
Cited By (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003257967A (ja) * | 2002-03-05 | 2003-09-12 | Tri Chemical Laboratory Inc | 膜形成材料、膜形成方法、及び素子 |
JP2007501902A (ja) * | 2003-05-09 | 2007-02-01 | エーエスエム アメリカ インコーポレイテッド | 化学的不活性化を通じたリアクタ表面のパシベーション |
JP2007521658A (ja) * | 2003-07-07 | 2007-08-02 | マイクロン テクノロジー,インコーポレイテッド | リンでドープした二酸化ケイ素含有層の形成方法及び集積回路の作製におけるトレンチ分離の形成方法 |
JP2006118669A (ja) * | 2004-10-25 | 2006-05-11 | Sanoh Industrial Co Ltd | 樹脂チューブ |
JP2008533731A (ja) * | 2005-03-17 | 2008-08-21 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | シリコン酸化物含有膜の形成方法 |
JP2008109091A (ja) * | 2006-09-28 | 2008-05-08 | Tokyo Electron Ltd | シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム |
JP2007180544A (ja) * | 2006-12-19 | 2007-07-12 | Tri Chemical Laboratory Inc | 膜形成材料、膜形成方法、及び素子 |
JP2010056582A (ja) * | 2009-12-10 | 2010-03-11 | Tokyo Electron Ltd | 誘電体膜の形成方法 |
JP2017092475A (ja) * | 2015-11-12 | 2017-05-25 | エーエスエム アイピー ホールディング ビー.ブイ. | SiOCN薄膜の形成 |
JP2020065087A (ja) * | 2015-11-12 | 2020-04-23 | エーエスエム アイピー ホールディング ビー.ブイ. | SiOCN薄膜の形成 |
JP2022003689A (ja) * | 2015-11-12 | 2022-01-11 | エーエスエム アイピー ホールディング ビー.ブイ. | SiOCN薄膜の形成 |
JP7135187B2 (ja) | 2015-11-12 | 2022-09-12 | エーエスエム アイピー ホールディング ビー.ブイ. | SiOCN薄膜の形成 |
JP2022164814A (ja) * | 2015-11-12 | 2022-10-27 | エーエスエム アイピー ホールディング ビー.ブイ. | SiOCN薄膜の形成 |
JP2021040060A (ja) * | 2019-09-04 | 2021-03-11 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理システム、およびプログラム |
Also Published As
Publication number | Publication date |
---|---|
JP5420129B2 (ja) | 2014-02-19 |
US9514956B2 (en) | 2016-12-06 |
KR100743768B1 (ko) | 2007-07-30 |
KR20070009747A (ko) | 2007-01-18 |
TWI226380B (en) | 2005-01-11 |
EP1248865A1 (en) | 2002-10-16 |
FI118804B (fi) | 2008-03-31 |
AU2374301A (en) | 2001-06-12 |
US7824492B2 (en) | 2010-11-02 |
US20040065253A1 (en) | 2004-04-08 |
FI19992616A (fi) | 2001-06-04 |
US20110104906A1 (en) | 2011-05-05 |
US7771533B2 (en) | 2010-08-10 |
US20030188682A1 (en) | 2003-10-09 |
KR100731394B1 (ko) | 2007-06-27 |
EP1248865B1 (en) | 2016-10-05 |
KR20020063196A (ko) | 2002-08-01 |
US7771534B2 (en) | 2010-08-10 |
US20070163488A1 (en) | 2007-07-19 |
WO2001040541A1 (en) | 2001-06-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2003515674A (ja) | 二酸化ケイ素を含む膜の原子層化学蒸着 | |
JP2003515674A5 (ja) | ||
US7547952B2 (en) | Method for hafnium nitride deposition | |
US7084080B2 (en) | Silicon source reagent compositions, and method of making and using same for microelectronic device structure | |
US7462559B2 (en) | Systems and methods for forming metal-containing layers using vapor deposition processes | |
CN100481321C (zh) | 半导体器件制造方法 | |
US20060148271A1 (en) | Silicon source reagent compositions, and method of making and using same for microelectronic device structure | |
JP2001355070A (ja) | 酸化物薄膜を製造する方法 | |
KR20090068179A (ko) | 실리콘 이산화물을 포함하는 박막의 제조 방법 | |
JP2003007699A (ja) | 低誘電率材料およびcvdによる処理方法 | |
CN107857774A (zh) | 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法 | |
KR20010005863A (ko) | 비스무스 아미드 화합물 및 조성물과, 이들을 사용하여 비스무스 함유 필름을 형성시키는 방법 | |
KR20240090860A (ko) | 실리콘-함유 필름의 고온 원자 층 증착 | |
JP2004131485A (ja) | 金属シロキシドの単一原料混合物 | |
JP2003335791A (ja) | 有機金属錯体およびそれを用いた金属シリケート薄膜の蒸着方法 | |
FI119844B (fi) | Menetelmä oksidikalvojen kasvattamiseksi | |
KR100547282B1 (ko) | 반도체 소자용 하프늄 실리케이트 게이트 절연막의 제조방법 | |
KR100425533B1 (ko) | 반도체 소자용 금속 실리케이트 게이트 절연막의 제조방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20031225 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071203 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20071203 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20100908 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110202 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20110502 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20110512 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110802 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20111012 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130502 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130510 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130805 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130930 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20131120 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5420129 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |