DE10208450B4 - Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen - Google Patents

Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen Download PDF

Info

Publication number
DE10208450B4
DE10208450B4 DE10208450A DE10208450A DE10208450B4 DE 10208450 B4 DE10208450 B4 DE 10208450B4 DE 10208450 A DE10208450 A DE 10208450A DE 10208450 A DE10208450 A DE 10208450A DE 10208450 B4 DE10208450 B4 DE 10208450B4
Authority
DE
Germany
Prior art keywords
temperature
layer
substrate surface
process chamber
chemical precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10208450A
Other languages
English (en)
Other versions
DE10208450A1 (de
Inventor
Annette Dr. Sänger
Bernhard Sell
Harald Seidl
Thomas Dr. Hecht
Martin Dr. Gutsche
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE10208450A priority Critical patent/DE10208450B4/de
Priority to TW092102600A priority patent/TW200303371A/zh
Priority to US10/375,529 priority patent/US6835417B2/en
Publication of DE10208450A1 publication Critical patent/DE10208450A1/de
Application granted granted Critical
Publication of DE10208450B4 publication Critical patent/DE10208450B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate

Abstract

Verfahren zum Abscheiden mindestens einer Schicht aus jeweils einem Schichtmaterial auf mindestens Abschnitten einer Substratoberfläche eines in einem Kammerinnenraum einer Prozesskammer angeordneten Substrats, umfassend die Prozessschritte:
(a) Einbringen einer ersten chemischen Vorläuferverbindung des Schichtmaterials in einer Gasphase in die Prozesskammer, wobei ein erster Anteil der ersten chemischen Vorläuferverbindung mindestens abschnittsweise als monomolekulare Teileinzellage auf der Substratoberfläche abgeschieden und chemisch gebunden wird,
(b) Entfernen nicht abgeschiedener zweiter Anteile der ersten chemischen Vorläuferverbindung aus der Prozesskammer,
(c) Einbringen einer zweiten chemischen Vorläuferverbindung des Schichtmaterials in einer Gasphase in die Prozesskammer, wobei ein erster Anteil der zweiten chemischen Vorläuferverbindung auf den von der ersten chemischen Vorläuferverbindung bedeckten Abschnitten der Substratoberfläche abgeschieden und, chemisch gebunden wird und dabei aus den beiden chemischen Vorläuferverbindungen eine Einzellage aus dem Schichtmaterial gebildet wird und
(d) Entfernen nicht abgeschiedener zweiter Anteile der zweiten chemischen Vorläuferverbindung aus der Prozesskammer,
wobei:
durch Wiederholen der...

Description

  • Die vorliegende Erfindung betrifft ein Verfahren zum Abscheiden mindestens einer Schicht aus jeweils einem Schichtmaterial auf mindestens Abschnitten einer Substratoberfläche eines in einem Kammerinnenraum einer Prozesskammer angeordneten Substrats.
  • Ein typischer Fertigungsschritt bei der Herstellung mikromechanischer oder mikroelektronischer Bauteile ist ein mindestens abschnittsweises Erzeugen von Schichten aus verschiedenen Schichtmaterialien auf einem Substrat, eine nachträgliche Behandlung und Veränderung der chemischen und/oder physikalischen Eigenschaften der abgeschiedenen Schichten, sowie ein Strukturieren der abgeschiedenen und veränderten Schichten. Das Substrat ist dabei typischerweise ein Wafer aus einem Halbleitermaterial. Eine hohe Integrationsdichte, wie sie insbesondere bei elektronischen Bauelementen wie Prozessoren und Halbleiterspeichereinrichtungen gefordert ist, setzt sehr geringe Schichtdicken und kleine Abmessungen für Strukturen in der Schicht voraus. Mittlerweile sind Schichtdicken von wenigen Nanometern und Abmessungen von Strukturen von wenigen zehn Nanometern üblich.
  • Durch die stetige Miniaturisierung erhöhen sich die Ansprüche an eine durch Defektdichte, Rauigkeit und Homogenität einer Schicht bestimmten Schichtqualität.
  • Dabei beschreibt die Rauigkeit eine Abweichung einer Oberfläche einer Schicht von einer ideal planaren Oberfläche. Die Defektdichte ist ein Maß für die Anzahl und die Größe von Verunreinigungen oder Strukturdefekten in der Schicht.
  • Verunreinigungen sind dabei Einlagerungen aus einem anderen als dem Schichtmaterial. Strukturdefekte sind beispielsweise Hohlräume oder, bei Kristall bildenden Schichtmaterialien, Gitterfehler. Die Homogenität bezieht sich auf eine physikalische und chemische Gleichförmigkeit der Schicht.
  • Übliche Verfahren zur Herstellung von Schichten mit einer Schichtdicke unter einem Mikrometer auf einem Substrat sind epitaktische Verfahren, physikalische Gasphasenabscheidung (physical vapor deposition, PVD-Verfahren) und chemische Gasphasenabscheidung (chemical vapor deposition, CVD-Verfahren).
  • Bei CVD-Verfahren wird ein Substrat in einer CVD-Prozesskammer einem Strom eines oder mehrerer Prozessgase ausgesetzt. Bei den Prozessgasen handelt es sich beispielsweise um gasförmige chemische Vorläuferverbindungen des Schichtmaterials oder um inerte Trägergase, die die Vorläuferverbindungen in fester oder flüssiger Form transportieren. Aus der oder den Vorläuferverbindungen (im Folgenden auch Präkursoren genannt) wird photolytisch, thermisch und/oder plasmagestützt in der CVD-Prozesskammer und/oder über der Substratoberfläche das Schichtmaterial erzeugt, das sich auf der Substratoberfläche niederschlägt und eine Schicht bildet. Die Abscheidung erfolgt in der Regel entweder bei atmosphärischem Druck oder Unterdruck. Eine CVD-Prozesskammer, in der Abscheideverfahren bei Unterdruck möglich sind, ist in der US 5,935,338 beschrieben.
  • Da die Abscheidung eng mit der Zufuhr der Präkursoren und damit mit dem Fluss der Prozessgase verknüpft ist, erfordert ein Abscheiden einer homogenen Schicht gleichmäßiger Dicke komplexe und aufwändige CVD-Prozesskammern, sowie eine präzise Verfahrenssteuerung.
  • Ein weiterer Nachteil an CVD-Verfahren ist eine schlechte Kantenbedeckung. Auf einer strukturierten Substratoberfläche, die Vertiefungen mit zur Substratoberfläche vertikalen Flanken aufweist, liefert die Kantenbedeckung ein Maß dafür, in welchem Verhältnis das Schichtmaterial an den Flanken am oberen, der Oberfläche zugewandten Ende der Vertiefung bzw. an den Flanken am unteren, dem Grund der Vertiefung zugewandten Ende der Vertiefung, abgeschieden wird.
  • Aus dem Umstand, dass in unmittelbarer Nähe zur Substratoberfläche sowohl die Präkursoren als auch das Schichtmaterial quasi nebeneinander existieren, resultieren ferner bei der Abscheidung Einschlüsse der Präkursoren in die sich abscheidende Schicht und damit eine dem Prozess immanente Defektdichte in der abgeschiedenen Schicht.
  • Nach dem Abscheiden einer Schicht kann es notwendig sein, vor dem Strukturieren der Schicht bzw. vor dem Aufbringen einer weiteren Schicht, einen Temperaturschritt auszuführen. Dieser Temperaturschritt kann entweder ein Erwärmen oder ein Abkühlen beinhalten, durch das beispielsweise Schichtmaterialien ausgehärtet, Diffusions- bzw. Implantationsvorgänge gestoppt, thermomechanische Spannungen in der Schicht abgebaut werden und die chemische Zusammensetzung durch eine thermische Nachbehandlung (annealing) homogenisiert wird.
  • Verfahren, die ein in der Regel schnelles, kurzfristiges Erhitzen oder Abkühlen eines Substrates beinhalten, werden unter dem Begriff ATP-Verfahren (rapid thermal processing) zusammengefasst. ATP-Verfahren werden auch zur Oxidierung und Nitridierung von Schichten verwendet. Üblicherweise stehen für ATP-Verfahren eigene ATP-Reaktoren zur Verfügung, wie sie etwa aus der US 6,310,327 bekannt sind. Solche RTP-Reaktoren sind mit Strahlungsquellen innerhalb oder außerhalb einer Prozesskammer ausgerüstet, mit denen schnelle Temperaturänderungen auf einer in der Prozesskammer angeordneten Substratoberfläche gesteuert werden. Nachteilig am Kombinieren von CVD-Verfahren in CVD-Prozesskammern mit ATP-Verfahren in ATP-Reaktoren ist der aufwändige Transfer der Substrate zwischen CVD-Prozesskammer und ATP-Reaktor.
  • Jeder Transfer erhöht die Wahrscheinlichkeit einer Verunreinigung der Substratoberfläche und bedeutet dazu einen erheblichen Rüstaufwand sowie Ausfallzeiten an betreffenden Anlagen.
  • Es sind daher auch RTCVD-Anlagen (RTCVD: rapid thermal chemical vapor deposition) bekannt, etwa aus der US 4,892,753 oder der US 5,935,338 , bei denen CVD-Prozesskammern mit von RTP-Reaktoren bekannten, schnell heizenden Strahlungsquellen ausgerüstet sind. Solche RTCVD-Anlagen ermöglichen zum einen prinzipiell RTP- und CVD-Verfahren in einer einzigen Prozesskammer. Darüber hinaus lassen sich in RTCVD-Anlagen CVD-Verfahren verbessern. Dabei wirkt ein schnelles Aufheizen der Substratoberfläche als reaktiver Schalter (reactive switch) und ermöglicht eine sehr viel bessere Prozesskontrolle sowie kürzere Abscheidezeiten.
  • Jedoch weisen solche RTCVD-Anlagen eine Reihe von Nachteilen auf. So lagern sich bei üblichen CVD- und RTCVD-Prozessen die Reaktionsprodukte der Gasphasenabscheidung nicht nur auf der Substratoberfläche, sondern auch auf den Innenseiten einer einen Kammerinnenraum umschließenden Kammerwandung der Prozesskammer ab. Ändert sich in der Folge die Temperatur in der Prozesskammer stark, so dehnen sich die Materialien der Kammerwandung und das abgeschiedene Schichtmaterial unterschiedlich aus, wodurch das an der Innenwand abgeschiedene Material abblättert und die Prozesskammer mit Partikeln kontaminiert. Diese Partikel erhöhen, abgelagert auf der Substratoberfläche, die Defektdichte in der gerade abgeschiedenen Schicht.
  • Ein weiterer Nachteil ergibt sich im Zusammenhang mit den Strahlungsquellen. Die Strahlungsquellen können bei RTCVD-Reaktoren prinzipiell innerhalb oder außerhalb der Prozesskammer angeordnet sein. Ein Anordnen der Strahlungsquellen außerhalb des RTCVD-Reaktors setzt eine zumindest abschnittsweise transparente Kammerwandung voraus.
  • Um ein Abscheiden der Reaktionsprodukte auf der Innenseite transparenter Abschnitte bzw. Fenster der Kammerwandung zu verhindern, ist eine aufwändige Kühlung der Fenster notwendig. Ein Beispiel für ein gekühltes Fenster für RTCVD-Prozesskammern ist in der US 6,284,051 beschrieben. Darüber hinaus werden in CVD-Prozesskammern auf den Kammerwandungen abgeschiedene Schichten regelmäßig durch Spülen mit Ätzgasen entfernt. Handelt es sich bei den abgeschiedenen Schichtmaterialien um Dielektrika, so wird durch die dafür geeigneten Ätzmittel auch ein Material der Fenster, üblicherweise ein Quarzglas oder Saphirglas, geätzt und in seinen optischen Eigenschaften verändert. Bei heute üblichen RTCVD-Prozesskammern werden die Fenster nach etwa 1000 – 2000 Zyklen gewechselt, bzw. in aufwändiger Weise gereinigt.
  • Weiterhin nachteilig ist die Abhängigkeit der Schichtdicke einer abgeschiedenen Schicht von der Temperatur auf der Substratoberfläche. Bei Substratdurchmessern von 300 mm setzt eine gleichmäßige Schichtdicke eine sehr gleichmäßige Bestrahlung auch einer strukturierten Substratoberfläche voraus. Eine gleichmäßige Bestrahlung einer solchen Substratoberfläche durch übliche Strahlungsquellen, etwa Wolfram-Halogenlampen, erfordert eine aufwändige optische Strahlungsführung und ein nahezu fehlerfreies und ablagerungsfreies Fenster.
  • Eine weitere Schwierigkeit bei einer Kombination von RTP- und CVD-Prozessen in einer Anlage besteht darin, dass für eine schnelle Temperaturreaktion des Substrats das Aufliegen des Substrats auf einem Träger hinderlich ist. Ist aber die Rückseite des Substrates freigestellt, so findet auch an der Rückseite des Substrats eine dort unerwünschte Gasphasenabscheidung statt.
  • In aktuellen Konzepten für CVD-Prozesskammern, wie etwa in der US 5,935,338 beschrieben ist, wird daher wieder vom Konzept einer schnellen Erhitzung des Substrats zugunsten einer gleichmäßigeren Erhitzung des Substrats, bzw. der Substratoberfläche abgerückt.
  • Eine weitere Variante des CVD-Verfahrens ist das sequentielle CVD-Verfahren oder ALD-Verfahren (atomic layer deposition). Bei ALD-Verfahren wird in einer ersten Phase eine erste chemische Vorläuferverbindung (Präkursor) in eine Prozesskammer, in der ein Substrat bereitgestellt ist, eingeführt. Durch einen als Chemisorption bekannten Prozess lagert sich der erste Präkursor ausschließlich in vorgesehenen aktivierten Abschnitten der Substratoberfläche ab. Der erste Präkursor wird dabei in der Regel modifiziert. Sind alle aktivierten Abschnitte mit dem modifizierten Präkursor bedeckt, ist die erste Phase der Abscheidung abgeschlossen. Dann ist eine monomolekulare Teileinzellage aus einem modifizierten Präkursor auf der Substratoberfläche abgeschieden. In einem zweiten Schritt werden nicht abgeschiedene Anteile des ersten Präkursors aus der Prozesskammer entfernt. Das Entfernen erfolgt dabei durch Spülen mit einem inerten Gas und/oder Abpumpen. Danach wird in einem dritten Schritt ein zweiter Präkursor in die Prozesskammer eingebracht, der sich auf der ersten ablagert, wobei die Präkursoren in das Schichtmaterial umgesetzt werden und eine Einzellage (monolayer) der zu erzeugenden Schicht bilden. In einem vierten Schritt werden nicht abgeschiedene Anteile des zweiten Präkursors aus der Prozesskammer entfernt. Die Schritte eins bis vier werden solange wiederholt, bis aus den so abgeschiedenen Einzellagen eine Schicht vorherbestimmter Schichtdichte gebildet ist.
  • Bedingt durch ihren selbstlimitierenden Charakter ist eine ALD-Abscheidung unabhängig von einer Menge der eingebrachten Präkursoren, deren Zuflusscharakteristika, sowie einer Diffusions- und Reaktionsdynamik der Präkursoren. Dadurch verringern sich die technischen Anforderungen sowohl an eine ALD-Prozesskammer als auch an die Steuerung eines ALD-Prozesses. Da die Abscheidung der Präkursoren weitgehend durch Chemisorption, nicht aber durch dynamische, diffusionsbestimmte Prozesse gesteuert wird, ergibt sich für ALD-Verfahren bei der Abscheidung auf nichtplanaren, strukturierten Substratoberflächen eine sehr gute Kantenbedeckung.
  • Nachteilig an üblichen ALD-Verfahren und ALD-Prozesskammern sind insbesondere der geringe Durchsatz an Substraten. Weiterhin nachteilig ist der Umstand, dass infolge der üblichen trägen Beheizung der Substratoberfläche Temperaturschritte etwa zur Oberflächenaktivierung oder für ein Annealing entweder auf Kosten langer Prozesszeiten in der ALD-Prozesskammer oder unter aufwändigen Rüstvorkehrungen beispielsweise in einer RTP-Kammer ausgeführt werden müssen.
  • In der US 6,174,377 wird eine ALD-Prozessstation zur Erhöhung des Durchsatzes von Substraten beschrieben, bei der eine Mehrzahl von ALD-Prozesskammern in ökonomischer Weise parallel angeordnet sind und betrieben werden.
  • Inhalt der US 6,042,652 ist eine ALD-Prozesskammer, die zur Erhöhung des Durchsatzes an Substraten das gleichzeitige Anordnen und Bearbeiten mehrerer Substrate in einer einzigen Prozesskammer ermöglicht.
  • In beiden zuletzt genannten Patentschriften wird dem im Vergleich zu CVD-Prozesskammern um etwa einen Faktor 10 niedrigeren Durchsatz einer ALD-Prozesskammer mit einer höheren Parallelität begegnet.
  • Nachteilig ist aber allen derzeit bekannten ALD-Prozesskammern und -Anlagen die gegenüber konventionellen CVD-Prozessen lange Prozesszeit pro Substrat, sowie die fehlende Möglichkeit, die Temperatur eines in der Prozesskammer angeordneten Substrats bzw. der Substratoberfläche zügig zu ändern, um zu einem weiteren Anwendungsspektrum von ALD-Prozessen zu gelangen.
  • Aus J. Vac. Sci. Technol. B 19(5) Sep/Oct 2001 S. 1782 bis 1787, sind ein Verfahren und eine Vorrichtung zur Abscheidung von ZrO2 auf einem Substrat bekannt, bei dem ein Zr-Vorläufer (ZTB) zusammen mit Ar als Trägergas und ein Reaktivgas (O2) alternierend und steuerbar einer Prozesskammer zugeführt werden und gleichzeitig das Substrat mittels in der Prozesskammer integrierter Wolfram-Halogenlampen beheizt wird. Die Temperatur kann dabei auch während der Abscheidung verändert werden. Die Vorrichtung verfügt auch über eine Zentralkammer mit Roboter zur Substratzuführung und eine als RT-CVD Kammer bezeichnete Beschichtungskammer mit einem Substrat-Drehhalter. Bei dieser bekannten Vorrichtung werden so zwei chemische Vorläufer ZTB und O2 unabhängig voneinander und alternierend gesteuert zugeführt, woraus sich analog zu ALD eine Abscheidung von Monolagen ergibt und gleichzeitig variierbar eine Beheizung mittels Hochleistungsstrahler erfolgt.
  • Es ist Aufgabe der Erfindung, ein Verfahren zur Verfügung zu stellen, bei dem jeweils gegenüber üblichen ALD- bzw. CVD-Prozessen die Schnelligkeit, mit der eine Schicht in einem ALD- bzw. CVD-Prozess abgeschieden wird, erhöht und/oder die Qualität einer in einem ALD-Prozess abgeschiedenen Schicht verbessert wird.
  • Diese Aufgabe wird mit einem Verfahren zum Abscheiden einer Schicht aus einem Schichtmaterial auf mindestens Abschnitten einer Substratoberfläche eines in einem Kammerinnenraum einer Prozesskammer angeordneten Substrats gelöst, das mindestens die Prozessschritte
    • (a) Einbringen einer ersten chemischen Vorläuferverbindung des Schichtmaterials in einer Gasphase in die Prozesskammer, wobei ein erster Anteil der ersten chemischen Vorläuferverbindung mindestens abschnittsweise gleichmäßig als monomolekulare Teileinzellage auf der Substratoberfläche abgeschieden und chemisch gebunden wird,
    • (b) Entfernen nichtabgeschiedener zweiter Anteile der ersten chemischen Vorläuferverbindung aus der Prozesskammer,
    • (c) Einbringen einer zweiten chemischen Vorläuferverbindung des Schichtmaterials in einer Gasphase in die Prozesskammer, wobei ein erster Anteil der zweiten chemischen Vorläuferverbindung auf den von der ersten chemischen Vorläuferverbindung bedeckten Abschnitten der Substratoberfläche abgeschieden und chemisch gebunden wird und dabei aus den beiden chemischen Vorläuferverbindungen eine Einzellage aus dem Schichtmaterial gebildet wird und
    • (d) Entfernen nichtabgeschiedener zweiter Anteile der zweiten chemischen Vorläuferverbindung aus der Prozesskammer, wobei:

    durch Wiederholen der Prozessschritte (a) bis (d) aus aufeinanderfolgenden Einzellagen eine Schicht erzeugt wird,
    vor, während und/oder nach mindestens einem Prozessschritt in der Prozesskammer mindestens eine Temperaturänderung mit einem Gradienten größer 100 °Kelvin pro Sekunde auf der Substratoberfläche durchgeführt wird, und
    die Substartoberfläche vor der Abscheidung einer chemischen Vorläuferverbindung durch eine schnelle und kurzzeitige Temperaturerhöhung erhitzt und aktiviert wird, nachfolgend die Temperatur der Substratoberfläche abgesenkt und die chemische Vorläuferverbindung auf der aktivierten Substratoberfläche abgeschieden wird.
  • Vorteilhafte Weiterbildungen der Erfindung ergeben sich aus den Unteransprüchen.
  • Ein ähnliches Verfahren wie das im Patentanspruch 1 angegebene Verfahren, bei der aber im Gegensatz zur Erfindung kein Aktivieren der Oberfläche vor dem Abscheiden einer Vorläuferverbindung durch eine Temperaturerhöhung und eine anschließenden Temperaturabsenkung erfolgt, ist in der älteren WO 2002/070142 A1 beschrieben.
  • Für manche chemische Vorläuferverbindungen ist ein Temperaturbereich, in dem eine Abscheidung auf der Substratoberfläche erfolgen kann, stark eingeschränkt. Zum einen ist eine Temperatur der Substratoberfläche erforderlich, die über einer Mindesttemperatur liegt, bei der die Abscheidung der chemischen Vorläuferverbindung überhaupt stattfinden kann. Auch das Entfernen überschüssiger Anteile der chemischen Vorläuferverbindung aus der Prozesskammer setzt eine Mindestbeweglichkeit und damit eine Mindesttemperatur in der Prozesskammer voraus. Zum anderen bleibt das Abscheiden einer chemischen Vorläuferverbindung nur dann selbstlimitierend, wenn die Temperatur auf der Substratoberfläche eine für die Reaktion spezifische Maximaltemperatur nicht überschreitet. Handelt es sich bei einer chemischen Vorläuferverbindung etwa um eine organische Verbindung, so kommt es bei einem Überschreiten der Maximaltemperatur zu einem temperaturbedingten vorzeitigen Zerfall der chemischen Vorläuferverbindung auf der Substratoberfläche. Bei einem Verfahren der erfindungsgemäßen Art wird nun die Temperatur auf der Substratoberfläche ausreichend schnell und genau in einer Weise geregelt, dass jeder Teilschritt eines ALD-Prozesses bei einer optimalen Temperatur bzw. innerhalb eines optimalen Temperaturbereichs abläuft.
  • Damit ermöglicht das erfindungsgemäße Verfahren auch die Benutzung unterschiedlich reaktiver chemischer Vorläuferverbindungen im Zuge eines ALD-Prozesses innerhalb einer Prozesskammer. Ein Spektrum von für ALD-Prozesse tauglichen Materialien und Materialkombinationen wird deutlich erweitert. Zum Beispiel ist dadurch die Herstellung von Nanolaminaten aus HfO2 und SiO2 in derselben Prozesskammer möglich.
  • In einer bevorzugten Ausführungsform der Erfindung erfolgt das Entfernen der nicht abgeschiedenen Anteile mindestens einer der beiden chemischen Vorläuferverbindungen durch ein Spülen der Prozesskammer mit der jeweils anderen chemischen Vorläuferverbindung bei einer Temperatur, bei der die andere chemische Vorläuferverbindung inert ist. Das Spülen erfolgt dabei durch ein Verdrängen der einen Vorläuferverbindung durch die andere Vorläuferverbindung, wobei das Verdrängen auch durch Druckänderungen in der Prozesskammer unterstützt sein kann. Danach wird die Temperatur auf der Substratoberfläche innerhalb eines Temperaturbereichs gesteuert, in dem die andere chemische Vorläuferverbindung chemisch reaktiv wird. Da die Temperaturänderung wesentlich schneller erfolgt, als ein Spülen der Prozesskammer etwa mit einem chemisch inerten Gas wie in ALD-Prozessen der üblichen Art, wird auf diese Weise ein ALD-Prozess in der Prozesskammer erheblich beschleunigt.
  • Dieses Verfahren ist besonders dann vorteilhaft, wenn eine Temperatur TA, bei der die eine chemische Vorläuferverbindung mittels der anderen chemischen Vorläuferverbindung durch Spülen aus der Prozesskammer entfernt wird, gleich oder ähnlich der ist, bei der die Abscheidung der einen chemischen Vorläuferverbindung auf der Substratoberfläche erfolgt. In diesem Fall entfällt eine Prozesszeit für eine zwischenzeitliche Temperaturänderung und eine Gesamtprozesszeit eines ALD-Prozesses wird weiter verkürzt.
  • Das Abscheiden einer chemischen Vorläuferverbindung kann einen Nukleationsschritt beinhalten. Dabei bilden sich in einem ersten Schritt der Abscheidung auf der von der chemischen Vorläuferverbindung zu bedeckenden Substratoberfläche einzelne Abschnitte der abgeschiedenen und unter Umständen modifizierten Vorläuferverbindung. In einem zweiten Schritt lagern sich weitere Anteile der chemischen Vorläuferverbindung bevorzugt und unmittelbar in der Nachbarschaft der bereits abgeschiedenen Anteile (Nuklei) ab. Der zweite Schritt der Abscheidung kann in aller Regel bei einer deutlich niedrigeren Temperatur erfolgen als der vorangegangene Nukleationsschritt. Dann ist es bei einer solchen Abscheidung einer chemischen Vorläuferverbindung ausreichend, die Substratoberfläche nur während einer ausreichend langen Nukleationsphase auf eine erhöhte, die Nukleation ermöglichende Temperatur zu erhitzen. Für den zweiten Schritt, die Komplettierung der Abscheidung, wird die Temperatur wieder deutlich reduziert, wodurch ein homogenerer Schichtaufbau und eine geringere Rauigkeit der erzeugten Schichten erzielt und zudem eine Temperaturbelastung des Substrats deutlich reduziert wird.
  • Bei dem erfindungsgemäßen Verfahren wird durch ein kurzzeitiges, schnelles Erhitzen die Substratoberfläche chemisch aktiviert. Die Aktivierung ermöglicht ein folgendes Abscheiden einer chemischen Vorläuferverbindung. Damit erübrigt sich eine Aktivierung der Substratoberfläche mittels Plasmaprozesse. Bei solchen Plasmaprozessen wird mit Hilfe von Elektroden ein elektromagnetisches Wechselfeld in der Nähe der Substratoberfläche erzeugt. Das elektromagnetische Wechselfeld erzeugt seinerseits ein chemisch stark reaktives Ionengas. Insbesondere bei der Abscheidung auf dünnen dielektrischen Schichten wird dabei die Substratoberfläche sehr leicht geschädigt, was besonders dann gilt, wenn das stark reaktive Ionengas in großer Nähe der Substratoberfläche erzeugt wird. Die Elektroden sind daher für Plasmaprozesse in ausreichenden Abstand zur Substratoberfläche vorzusehen. Dazu addiert sich der Platzbedarf für die Elektroden selbst sowie ein zwischen den Elektroden befindlicher Reaktionsraum. Ein Kammervolumen einer optimalen Prozesskammer für plasmagestützte Abscheideverfahren ist also groß gegenüber dem einer optimalen Prozesskammer für ALD-Verfahren, da bei ALD-Verfahren zur Prozessbeschleunigung ein möglichst geringes Kammervolumen angestrebt wird.
  • Es ergeben sich daher zur Optimierung eines Plasmaprozesses an eine Prozesskammer konträre Anforderungen gegenüber einer optimalen ALD-Prozesskammer.
  • Bei der Abscheidung von Nitrid- und Oxidschichten hängen Stickstoff- bzw. Sauerstoffgehalt der abgeschiedenen Verbindungen stark von den Prozessbedingungen ab. Mittels nachfolgender Temperaturänderungen (im Folgenden auch Temperaturschritte) wird aus solchen Schichten Stickstoff bzw. Sauerstoff ausgetrieben und die chemische Homogenität der Schicht dadurch erhöht. Dabei unterliegt die Schicht einem Schrumpfungsprozess, der im Extremfall zur Zerstörung einer vorher kontinuierlich abgeschiedenen Schicht führen kann. Eine Verbesserung einer solchen Schicht wird durch sequenzielle Abscheidung dünner Nitrid- bzw. Sauerstoffschichten und jeweils darauf folgende Temperaturschritte in einer inerten Gasatmosphäre erzielt. Dazu ist es bisher notwendig, das Substrat der ALD-Prozesskammer zu entnehmen und in einem RTP-Reaktor, in der der Temperaturschritt ausgeführt wird, anzuordnen. Nach einer bevorzugten Ausführungsform des erfindungsgemäßen Verfahrens wird also auf einer Substratoberfläche eine Schicht aus einer Einzellage oder eine Mehrzahl von Einzellagen abgeschieden und danach die Schicht durch eine schnelle, kurzfristige und deutliche Temperaturerhöhung behandelt (in situ anneal). Auf diese Weise lassen sich auf besonders einfache Weise in der abgeschiedenen Schicht mechanische Spannungen abbauen, chemische und stöchiometrische Inhomogenitäten reduzieren und das Schichtmaterial aushärten.
  • Bevorzugterweise ist das Material der Einzellage dann Wolfram-Nitrid. Insbesondere bei einer Abscheidung einer Wolfram-Nitrid-Schicht nach einem ALD-Prozess hängt der Stickstoffgehalt der Schicht stark von den Prozessbedingungen ab und schwankt zwischen dem einer Schicht aus reinem WN und dem einer Schicht aus reinem W2N. Wird nun nach dem Abscheiden einer aus einer Mehrzahl von Einzellagen aufgebauten Wolfram-Nitrid-Schicht in einer geforderten Schichtdicke in herkömmlicher Weise ein Temperaturschritt ausgeführt, mit dem Stickstoff aus der Schicht ausgetrieben wird, so unterliegt die Wolfram-Nitrid-Schicht durch den Stickstoffverlust einem Schrumpfungsprozess, der zu Inhomogenitäten, im Extremfall zu Löchern in der Schicht führen kann. Gemäß dem erfindungsgemäßen Verfahren wird jeweils nach Abscheidung einer oder einer Mehrzahl von Wolfram-Nitrid-Einzellagen jeweils ein zwischenzeitlicher Temperaturschritt in einer inerten Gasatmosphäre ausgeführt, so dass das Austreiben des Stickstoffs bei geringeren Schichtdicken und dadurch kontrollierter und gleichmäßiger erfolgt. Es entstehen weniger Inhomogenitäten. Entstehen dennoch solche Inhomogenitäten oder etwa Löcher, so werden diese beim Abscheiden der nächsten Einzellagen mindestens teilweise wieder ausgeglichen bzw. aufgefüllt, so dass bei der Abscheidung dünner Wolfram-Nitrid-Schichten die Vorteile des erfindungsgemäßen Verfahrens in besonderer Weise zum Tragen kommen.
  • Insbesondere wenn die Wolfram-Nitrid-Schicht aus den chemischen Vorläuferverbindungen Wolframhexafluorid und Ammoniak erzeugt wird, kommt es zur Bildung von Einzellagen mit schwankendem Stickstoffgehalt. Das Einbringen und Abscheiden des Wolframhexafluorids und des Ammoniaks erfolgen dabei bei einer Temperatur von etwa 400 Grad Celsius.
  • In bevorzugter Weise wird in einem einer Abscheidung einer oder einer Mehrzahl von Einzellagen folgenden Temperaturschritt die Temperatur auf der Substratoberfläche für mindestens 15 und längstens 120 Sekunden auf eine Temperatur zwischen 800 und 1000 Grad Celsius, in bevorzugter Weise auf 900 Grad Celsius eingestellt.
  • In einer weiteren besonders bevorzugten Ausführungsform der Erfindung erfolgt nach dem Abscheiden jeder oder einer Mehrzahl von Schichten oder Einzellagen eine schnelle und kurzfristige Erhitzung der Substratoberfläche zum Zwecke des Verdampfens von Verunreinigungen (in situ clean). Dadurch wird in besonders schneller und einfacher Weise die Kontamination des Kammerinnenraums und damit eine Defektdichte in den abgeschiedenen Schichten reduziert.
  • Bei allen genannten Verfahren ist es von Vorteil, wenn das Substrat für die Temperaturschritte in der ALD-Prozesskammer verbleiben kann. Auf diese Weise werden insbesondere Oberflächenkontaminationen und unproduktive Rüstzeiten vermieden.
  • In einer weiteren bevorzugten Ausführungsform des erfindungsgemäßen Verfahrens werden auf einer Substratoberfläche in besonders vorteilhafter Weise Nanolaminate erzeugt. Nanolaminat bezeichnet dabei einen Stapel einer Mehrzahl von aufeinanderfolgenden, jeweils nur wenige Nanometer dicken Schichten aus mindestens zwei verschiedenen Schichtmaterialien. Der Vorteil des erfindungsgemäßen Verfahrens bei der Herstellung von Nanolaminaten ergibt sich aus verschiedenen optimalen Temperaturbereichen für die Abscheidung der beiden Schichtmaterialien. Da mit dem erfindungsgemäßen Verfahren die Temperatur der Substratoberfläche besonders präzise und schnell an den für einen jeweils anstehenden Prozessschritt optimalen Temperaturbereich angeglichen werden kann, ergibt sich bei der Herstellung von Nanolaminaten gemäß dem erfindungsgemäßen Verfahren eine besonders große Zeitersparnis.
  • Eine Verbindung von Abscheidungsprozessen mit Temperaturschritten für ein eine abgeschiedene Schicht in ihrer chemischen und/oder physikalischen Struktur veränderndes Annealing in einer einzigen Prozesskammer und eine sequentielle Abscheidung von Schichten aus unterschiedlichen Schichtmaterialien bei unterschiedlichen Temperaturen sind auch in Verbindung mit CVD-Verfahren besonders vorteilhaft. Solche Verfahren sind insbesondere bei der Abscheidung auf nicht oder nur relativ flach strukturierten, planaren Substratoberflächen von Vorteil, für die keine hohe Kantenbedeckung gefordert ist.
  • Durch das erfindungsgemäße Verfahren lassen sich in besonders ökonomischer Weise mittels CVD abgeschiedene Schichten einem die abgeschiedenen Schichten in ihrer chemischen und/oder physikalischen Struktur verändernden Annealing (thermische Nachbehandlung) unterziehen. Da mit dem erfindungsgemäßen Verfahren die Zeitdauer des Annealings an die Dicke der abgeschiedenen und einem Annealing zu unterziehenden Schicht angepasst werden kann, ergibt sich ein weiterer Prozessparameter zur Optimierung von Geschwindigkeit und Qualität der Abscheidung.
  • In besonders vorteilhafter Weise werden mit dem erfindungsgemäßen Verfahren Silizidschichten mittels eines CVD-Verfahrens in bekannter Weise abgeschieden und anschließend in der selben Prozesskammer einem. Temperaturschritt zum Zwecke eines Annealings unterzogen. Das Annealing führt zu einer Umkristallisation der abgeschiedenen Silizidschicht und einer stöchiometrisch homogenen Silizidschicht.
  • Eine weitere vorteilhafte Ausprägung der Erfindung ergibt sich beim Abscheiden eines Schichtstapels, in dem aufeinanderfolgend Schichten aus mindestens zwei verschiedenen Schichtmaterialien angeordnet sind, wobei die Schichtmaterialien bei jeweils verschiedenen Temperaturen des Substrats bzw. der Substratoberfläche abgeschieden werden.
  • Damit ermöglicht das erfindungsgemäße Verfahren ein ökonomisches Herstellen von solchen Schichtstapeln.
  • Sind die Schichtmaterialien eines solchen Schichtstapels etwa Polysilizium und Wolframsilizid, so erfolgt ein optimiertes Abscheiden des Polysiliziums in einem Temperaturbereich zwischen 600 Grad Celsius und 700 Grad Celsius, während das Wolframsilizid bevorzugt bei einer Temperatur von unter 550 Grad Celsius abgeschieden wird.
  • Eine Prozesskammer, die zum Erzeugen einer Schicht aus einem Schichtmaterial auf mindestens Abschnitten einer Substratoberfläche eines Substrats durch sequenzielles Abscheiden mindestens zweier chemischer Vorläuferverbindungen des Schichtmaterials aus einer Gasphase dient und mit der die Bearbeitungszeit eines Substrats während eines ALD-Prozesses verkürzt und die Variabilität der in der Prozesskammer möglichen Verfahren zum Abscheiden dünner Schichten erhöht wird, umfasst jeweils mindestens eine
    • – Aufnahmeeinrichtung zur Aufnahme jeweils mindestens eines Substrates,
    • – Zuführ- und Abführeinrichtung für die Gasphasen der chemischen Vorläuferverbindungen,
    • – Substratzuführeinrichtung zum Einbringen des Substrats in die Prozesskammer,
    • – Heizquelle zum Heizen des Substrats und/oder der Substratoberfläche, sowie
    • – eine Steuereinrichtung zum sequentiellen Einleiten mindestens zweier chemischer Vorläuferverbindungen,

    wobei mindestens eine Heizquelle als Strahlungsquelle ausgeführt ist, mit der eine Temperatur des Substrats und/oder der Substratoberfläche mit einem Gradienten größer 100 Kelvin pro Sekunde veränderbar ist.
  • Mit einer solchen Prozesskammer ist es möglich, den Durchsatz an Substraten pro ALD-Prozesskammer zur erhöhen, den Anwendungsbereich von ALD-Prozessen zu erweitern und die Abscheidung dünner Schichten zu optimieren, indem die eigentliche Abscheidung mit mindestens einem RTP-Schritt (RTP: rapid thermal processing) kombiniert wird.
  • Als Strahlungsquellen kommen dabei aus üblichen RTP- oder RTCVD-Prozesskammern bekannte Wolfram-Halogen-Heizlampen in Betracht. Mit Wolfram-Halogen-Heizlampen sind auf der Substratoberfläche Temperaturen von über 1000 Grad Celsius erreichbar. Zudem lassen sich mit Wolfram-Halogen-Heizlampen Temperaturgradienten von größer 100 Kelvin pro Sekunde realisieren, wodurch sich ALD-Prozesse besonders schnell und variabel gestalten lassen.
  • Gegenüber anderen bekannten RTCVD-Prozesskammern unterscheidet sich diese Prozesskammer hinsichtlich der Ausprägung einer die Zuführung und Abführung der Prozessgase steuernden Prozesssteuereinrichtung. Die Zu- und Abführeinrichtungen selbst können einfacher geführt werden, da die Fluss- und Diffusionskinematik der Prozessgase den Abscheidungsprozess in sehr viel geringerem Umfang beeinflussen. Andererseits sind die Anforderungen an die Standfestigkeit von in den Zu- und Abführeinrichtungen angeordneten, schaltbaren Ventileinrichtungen wesentlich höher, da sie bereits für das Abscheiden einer einzigen Schicht deutlich häufiger betätigt werden als in üblichen RTCVD-Prozesskammern. Zudem sind die Ventileinrichtungen mit einer deutlich geringer spezifizierten maximalen Schaltzeit für die Übergänge "sperrend/durchlässig" und umgekehrt vorzusehen.
  • Ein wesentlicher Vorzug einer solchen Prozesskammer (RTRLD-Prozesskammer) ergibt sich aus dem Umstand, dass mit ALD-Prozessen üblicherweise realisierte Schichtdicken sehr gering sind, also zum einen eine sehr geringe Materialmenge in der Prozesskammer umgesetzt wird und zum anderen das Material in der Prozesskammer in hohem Maße selektiv auf der Substratoberfläche abgeschieden wird. Daraus resultiert eine im Gegensatz zu RTCVD-Prozesskammern geringe Verunreinigung der Innenseite der Kammerwandung, bzw. von im Kammerinnenraum angeordneten Komponenten der Prozesskammer.
  • Wird die Strahlungsquelle innerhalb der ALD-Prozesskammer angeordnet, so bleibt bei allen Verfahren, bei denen die Abscheidung der chemischen Vorläuferverbindungen selektiv auf einer aktivierten Substratoberfläche oder aufeinander erfolgt, die Oberfläche der Strahlungsquelle weitgehend frei von Abscheidungen. Ein aufwändiges Reinigen der Strahlungsquelle entfällt überwiegend.
  • Nach einer weiteren Ausführungsform sind die Strahlungsquellen außerhalb der Prozesskammer angeordnet. Eine einen Kammerinnenraum umschließende Kammerwandung weist dann mindestens einen zur Strahlungsquelle orientierten und für die Heizstrahlung der Strahlungsquelle transparenten Abschnitt auf. Bei dieser Anordnung entfällt ein Öffnen der Prozesskammer bei einem wartungsbedingten Austausch der Strahlungsquellen.
  • Der transparente Abschnitt der Kammerwandung weist eine Kühleinrichtung auf, die den transparenten Abschnitt während des Betriebs der Prozesskammer kühlt und Abscheidungsprozesse sowie chemische Reaktionen auf einer dem Kammerinnenraum zugewandten Oberfläche des transparenten Abschnitts verhindert. Durch das Vorsehen der Kühleinrichtung wird der Wartungsaufwand an der Prozesskammer weiter verringert.
  • Eine solche Prozesskammer ermöglicht im Vergleich zu anderen üblichen ALD-Prozesskammern schnelle Temperaturänderungen vor, während und/oder nach einem ALD-Prozess in einem weiten Temperaturbereich.
  • Nachfolgend wird die Erfindung anhand einer Zeichnung und von vier Beispielen näher erläutert. Es zeigt:
  • 1 Einen schematischen Querschnitt durch eine Prozesskammer.
  • Eine Kammerwandung 4 einer Prozesskammer 1 umschließt einen Kammerinnenraum 5, in dem auf einer Haltevorrichtung 2 ein Substrat 3, typischerweise ein Halbleiterwafer, angeordnet ist. Die Prozesskammer 1 weist ferner Zu- und Abführeinrichtungen 6 auf, mittels derer chemische Vorläuferverbindungen eines Schichtmaterials in die Prozesskammer 1 eingeführt und nicht abgeschiedene Anteile der chemischen Vorläuferverbindungen wieder entfernt werden. Weiter weist die Kammerwandung 4 der Prozesskammer 1 mindestens einen transparenten Abschnitt 7 auf, der einer Substratoberfläche 8 des Substrates 3, auf der gemäß einem ALD-Prozess eine Schicht aus dem Schichtmaterial abgeschieden wird, gegenüberliegt. Durch den transparenten Abschnitt 7 der Kammerwandung 4 wird eine Hitzestrahlung 10 einer Strahlungsquelle 9, die außerhalb des Kammerinnenraums 5 angeordnet ist, zur Substratoberfläche 8 vermittelt. Das Substrat 3 wird der Prozesskammer 1 über eine Substratzuführeinrichtung 11 eingebracht.
  • Beispiel 1:
  • Die Herstellung von Nanolaminaten aus HfO2/SiO2-Schichten kann nach dem erfindungsgemäßen Verfahren beispielsweise in der folgenden Prozessschritten durchgeführt werden:
    • A1 Einstellen der Temperatur auf der Substratoberfläche auf 500 Grad Celsius, Zugabe der ersten chemischen Vorläuferverbindung der ersten Einzellage, HfCl4, Reaktionsdauer 500 ms (100 bis 10.000 ms);
    • A2 Füllen der Prozesskammer mit einer Inertgas (N2 oder Argon) für 1.000 ms (100 bis 10.000 ms);
    • A3 Zugabe einer zweiten chemischen Vorläuferverbindung der ersten Einzellage (H2O), Reaktionsdauer 2.500 ms (100 bis 10.000 ms); es erfolgt die Umsetzung der beiden chemischen Vorläufermaterialien gemäß der Reaktion HfCl4 + 2 H2O → HfO2 + 4 HCl;
    • A4 Füllen der Prozesskammer mit einem Inertgas (N2 oder Argon) für 3.000 ms (200 bis 10.000 ms) und gleichzeitiges Absenken der Temperatur der Substratoberfläche auf 427 Grad Celsius;
    • B1 Zugabe einer ersten chemischen Vorläuferverbindung der zweiten Einzellage, SiCl4, Reaktionsdauer 200 ms (100 bis 10.000 ms);
    • B2 Spülen der Prozesskammer mit einem Inertgas (N2 oder Argon) für 1.000 ms (100 bis 10.000 ms);
    • B3 Zugabe einer zweiten chemischen Vorläuferverbindung der zweiten Einzellage, H2O, Reaktionsdauer 500 ms (100 bis 10.000 ms); Die Abscheidung von SiO2 aus SiCl4 und H2O erfolgt nach der Reaktion SiCl4 + 2 H2O → SiO2 + 4 HCl.
    • B4 Spülen der Prozesskammer mit einem Inertgas (N2 oder Argon) für 2.500 ms (100 bis 10.000 ms) und gleichzeitiges Aufheizen des Substrats auf 500 Grad Celsius.
  • Ein aus den Prozessschritten A1 bis A4 bestehender Zyklus A beschreibt die Abscheidung von Hafniumoxid, ein aus den Prozessschritten B1 bis B4 bestehender Zyklus B die Abscheidung von Siliziumoxid. Das Verhältnis von Hafniumoxid zu Siliziumoxid im Nanolaminat wird durch die Anzahl der jeweiligen Zyklen A und B bestimmt. Werden zum Beispiel 6 Zyklen A und danach 6 Zyklen B ausgeführt, so ergibt sich ein Verhältnis des Hafniumoxides zum Siliziumoxid von 1:1. Desgleichen können Nanolaminate mit jeweils unterschiedlichen Dicken der Einzellagen erzeugt werden, beispielsweise durch 12 aufeinanderfolgenden Zyklen A gefolgt von 6 aufeinanderfolgenden Zyklen B.
  • Beispiel 2:
  • Mit dem erfindungsgemäßen Verfahren kann eine Schicht aus Wolfram-Nitrid beispielsweise in der folgenden Weise abgeschieden werden:
    • A1 Einstellen einer Temperatur von 400 Grad Celsius auf der Substratoberfläche, Zugabe einer ersten chemischen Vorläuferverbindung des Wolfram-Nitrids, Wolframhexafluorid (WF6), Reaktionsdauer 400 ms (100 bis 10.000 ms);
    • A2 Spülen der Prozesskammer mit einem Inertgas (N2 oder Argon) für 1.500 ms (100 bis 10.000 ms);
    • A3 Zugabe einer zweiten chemischen Vorläuferverbindung des Wolfram-Nitrids, Ammoniak (HH3), Reaktionsdauer 2.500 ms (100 bis 10.000 ms);
    • A4 Spülen der Prozesskammer mit einem Inertgas (N2 oder Argon) für 3.000 ms (200 bis 10.000 ms);
    • B1 Erhöhung der Temperatur auf der Substratoberfläche auf 900 Grad Celsius (600 Grad bis 1.100 Grad) für 60 Sekunden (15 bis 120 Sekunden)
    • B2 Abkühlen der Substratoberfläche auf die ursprüngliche Prozesstemperatur von 400 Grad Celsius. Ein aus den Schritten B1 und B2 bestehende Annealschritt findet in Inertgasatmosphäre statt und wird nach jedem oder nach einer Mehrzahl von Zyklen A1 bis A4 ausgeführt.
  • Beispiel 3:
  • Die Herstellung von WSix und WSix-haltigen Schichtstapeln nach dem erfindungsgemäßen Verfahren kann beispielsweise in der folgenden Weise durchgeführt werden:
    Bei einer Temperatur der Substratoberfläche zwischen 450 Grad Celsius und 550 Grad Celsius werden in einem CVD-Verfahren aus den chemischen Vorläuferverbindungen WF6 und Dichlorsilan dünne, zwei bis zwanzig Nanometer dicke Schichten aus WSix abgeschieden. Danach wird die Zuführung der chemischen Vorläuferverbindungen eingestellt und durch die ausschließliche Zufuhr des inerten Trägergases Argon eine inerte Atmosphäre in der Prozesskammer geschaffen. Danach erfolgt ein Temperaturschritt für ein rapid thermal annealing, dessen Zeitdauer sich mit steigender Schichtdicke verlängert. Dabei ergeben sich für unterschiedliche Temperaturen für das Annealing unterschiedliche Zeitdauern für das Annealing:
    • a) für eine Annealtemperatur von 650 Grad Celsius eine Zeitdauer von 30 bis 300 Sekunden
    • b) für 750 Grad Celsius 200 bis 300 Sekunden
    • c) für 900 Grad Celsius 15 bis 240 Sekunden
    • d) für 1.000 Grad Celsius 10 bis 180 Sekunden
    • e) für 1.100 Grad Celsius 10 bis 120 Sekunden
  • Ein Zyklus aus Abscheidung und Anneal wird sooft wiederholt, bis die gewünschte Schichtdicke und -qualität erreicht sind.
  • Beispiel 4:
  • Die Herstellung eines Schichtstapels aus WSix und Poly-Si kann nach dem erfindungsgemäßen Verfahren beispielsweise in der folgenden Weise durchgeführt werden:
    • a) Die Abscheidung von Poly-Si erfolgt bei einer Temperatur von 600 Grad Celsius bis 700 Grad Celsius in einer CVD-Prozesskammer, wobei als chemische Vorläuferverbindung Silan herangezogen wird und Argon als Träger und/oder Schutzgas genutzt wird.
    • b) Die Abscheidung von WSix erfolgt bei einer Temperatur von unter 550 Grad Celsius und den Prozessgasen WF6 und Dichlorsilan. Auch hier wird Argon als Inertgas verwendet.
  • Die Abscheidezyklen von Poly-Si und WSix werden solange wiederholt, bis ein Stapel mit der gewünschten Schichtdicke erzeugt ist. Dabei können durch geeignetes Anpassen der Gasflüsse und Temperaturen die Abscheideraten so eingestellt werden, dass in Zeiträumen von zum Beispiel 15 Sekunden Schichtdicken zwischen 5 und 30 Nanometern abgeschieden werden.

Claims (11)

  1. Verfahren zum Abscheiden mindestens einer Schicht aus jeweils einem Schichtmaterial auf mindestens Abschnitten einer Substratoberfläche eines in einem Kammerinnenraum einer Prozesskammer angeordneten Substrats, umfassend die Prozessschritte: (a) Einbringen einer ersten chemischen Vorläuferverbindung des Schichtmaterials in einer Gasphase in die Prozesskammer, wobei ein erster Anteil der ersten chemischen Vorläuferverbindung mindestens abschnittsweise als monomolekulare Teileinzellage auf der Substratoberfläche abgeschieden und chemisch gebunden wird, (b) Entfernen nicht abgeschiedener zweiter Anteile der ersten chemischen Vorläuferverbindung aus der Prozesskammer, (c) Einbringen einer zweiten chemischen Vorläuferverbindung des Schichtmaterials in einer Gasphase in die Prozesskammer, wobei ein erster Anteil der zweiten chemischen Vorläuferverbindung auf den von der ersten chemischen Vorläuferverbindung bedeckten Abschnitten der Substratoberfläche abgeschieden und, chemisch gebunden wird und dabei aus den beiden chemischen Vorläuferverbindungen eine Einzellage aus dem Schichtmaterial gebildet wird und (d) Entfernen nicht abgeschiedener zweiter Anteile der zweiten chemischen Vorläuferverbindung aus der Prozesskammer, wobei: durch Wiederholen der Prozessschritte (a) bis (d) aus aufeinanderfolgenden Einzellagen eine Schicht erzeugt wird, vor, während und/oder nach mindestens einem Prozessschritt in der Prozesskammer mindestens einmal eine Temperaturänderung mit einem Gradienten größer 100 Kelvin pro Sekunde auf der Substratoberfläche durchgeführt wird, und die Substratoberfläche vor dem Abscheiden einer chemischen Vorläuferverbindung durch eine schnelle und kurzzeitige Temperaturerhöhung erhitzt und aktiviert wird, nachfolgend die Temperatur der Substratoberfläche abgesenkt und die chemische Vorläuferverbindung auf der aktivierten Substratoberfläche abgeschieden wird.
  2. Verfahren nach Anspruch 1, wobei – das Einbringen und Abscheiden der ersten chemischen Vorläuferverbindung bei einer ersten Temperatur der Substratoberfläche erfolgt und – das Einbringen und Abscheiden der zweiten chemischen Vorläuferverbindung bei einer zweiten Temperatur der Substratoberfläche erfolgt.
  3. Verfahren nach Anspruch 2, wobei das Entfernen der nichtabgeschiedenen zweiten Anteile mindestens einer der beiden chemischen Vorläuferverbindungen durch ein Spülen der Prozesskammer mit der jeweils anderen chemischen Vorläuferverbindung bei einer Temperatur TA erfolgt, bei der die andere chemische Vorläuferverbindung inert ist.
  4. Verfahren nach Anspruch 3, wobei die Temperatur TA gleich der ersten oder zweiten Temperatur gehalten wird, bei der die jeweils andere chemische Vorläuferverbindung eingebracht und abgeschieden wird.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei das Einbringen und Abscheiden mindestens einer der beiden chemischen Vorläuferverbindungen folgende Schritte umfasst: (a) Einstellen einer Nukleationstemperatur TN auf der Substratoberfläche, (b) Abscheiden erster Anteile der chemischen Vorläuferverbindung in einem Nukleationsschritt, (c) Absenken der Temperatur auf der Substratoberfläche bis über eine minimale Komplettierungstemperatur TK und (d) Abscheiden zweiter Anteile oder Vorläuferverbindung.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei nach dem Abscheiden einer Schicht aus einer Einzellage oder einer Mehrzahl von Einzellagen die Substratoberfläche durch eine schnelle Temperaturänderung kurzzeitig erhitzt und dadurch die Schicht in ihrer chemischen und/oder physikalischen Struktur verändert wird.
  7. Verfahren nach einem der Ansprüche 1 bis 6, wobei die Schicht aus Wolframnitrid aufgebaut wird.
  8. Verfahren nach Anspruch 7, wobei als erste chemische Vorläuferverbindung des Wolframnitrids Wolframhexafluorid und als zweite chemische Vorläuferverbindung Ammoniak in die Prozesskammer eingebracht werden.
  9. Verfahren nach Anspruch 8, wobei die Temperatur auf der Substratoberfläche während des Einbringens und Abscheidens des Wolframhexafluorids und des Ammoniaks auf 400 Grad Celsius (± 10%) und für eine Änderung der chemischen und/oder physikalischen Struktur einer oder einer Mehrzahl von Einzellagen die Temperatur für mindestens 15 und längstens 120 Sekunden auf mindestens 800 Grad Celsius eingestellt und dabei die Temperaturänderung mit einem Gradienten größer 100 Kelvin pro Sekunde durchgeführt wird. Verfahren nach Anspruch 1 bis 9, wobei während der Erzeugens der Schichten entstehende Verunreinigungen im Kammerinnenraum der Prozesskammer durch eine schnelle und kurzzeitige Temperaturerhöhung thermisch zersetzt und aus der Prozesskammer entfernt werden.
  10. Verfahren nach Anspruch 1, wobei eine Mehrzahl von aufeinanderfolgenden Schichten aus jeweils einem von mindestens zwei unterschiedlichen Schichtmaterialien abgeschieden wird und das Abscheiden der verschiedenen Schichtmaterialien bei unterschiedlichen Temperaturen der Substratoberfläche erfolgt.
  11. Verfahren nach Anspruch 11, wobei als ein erstes Schichtmaterial HfO2 bei einer Temperatur von 500 Grad Celsius (± 10%) aus den Vorläuferverbindungen HfCl4 und H2O und als ein zweites Schichtmaterial SiO2 bei einer Temperatur von 427 Grad Celsius (± 10%) aus den Vorläuferverbindungen SiCl4 und H2O abgeschieden und solcherart ein Nanolaminat hergestellt wird, gebildet aus aufeinanderfolgenden Schichten aus HfO2 und SiO2.
DE10208450A 2002-02-27 2002-02-27 Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen Expired - Fee Related DE10208450B4 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE10208450A DE10208450B4 (de) 2002-02-27 2002-02-27 Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
TW092102600A TW200303371A (en) 2002-02-27 2003-02-07 Device and process for depositing thin layers by means of ALD/CVD processes in combination with rapid thermal processes
US10/375,529 US6835417B2 (en) 2002-02-27 2003-02-27 Method and device for depositing thin layers via ALD/CVD processes in combination with rapid thermal processes

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10208450A DE10208450B4 (de) 2002-02-27 2002-02-27 Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen

Publications (2)

Publication Number Publication Date
DE10208450A1 DE10208450A1 (de) 2003-09-11
DE10208450B4 true DE10208450B4 (de) 2004-09-16

Family

ID=27740461

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10208450A Expired - Fee Related DE10208450B4 (de) 2002-02-27 2002-02-27 Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen

Country Status (3)

Country Link
US (1) US6835417B2 (de)
DE (1) DE10208450B4 (de)
TW (1) TW200303371A (de)

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) * 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
EP2282198A1 (de) * 2004-11-24 2011-02-09 Sensirion Holding AG Method zur Erzeugung einer Schicht auf einem Substrat
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
EP1728894B1 (de) * 2005-06-01 2008-10-15 Interuniversitair Microelektronica Centrum ( Imec) Beschichtungsverfahren mit atomaren Schichten (ald) zum Erzeugen einer Schicht hoher Qualität
EP1790758A1 (de) * 2005-11-25 2007-05-30 Interuniversitair Microelektronica Centrum ( Imec) Beschichtungsverfahren mit atomaren Schichten (ald) zum Erzeugen einer Schicht hoher Qualität
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US8839504B2 (en) * 2008-05-13 2014-09-23 HGST Netherlands B.V. Method of fabricating a device having a sidegap
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20130344688A1 (en) * 2012-06-20 2013-12-26 Zhiyuan Ye Atomic Layer Deposition with Rapid Thermal Treatment
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20140141191A1 (en) * 2012-11-20 2014-05-22 Veeco Ald Inc. Hydrophobic and Oleophobic Encapsulation Material with Alternating Layers
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
DE102015226144A1 (de) 2015-12-21 2017-06-22 Robert Bosch Gmbh Halbleiterverarbeitungsanlage für mindestens ein Halbleitersubstrat und Verfahren zumindest zum teilweisen Auffüllen mindestens eines Grabens durch ein Halbleitersubstrat
WO2017153510A1 (en) * 2016-03-09 2017-09-14 Danmarks Tekniske Universitet Chemical vapour deposition from a radiation-sensitive precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5935338A (en) * 1993-04-05 1999-08-10 Applied Materials, Inc. Chemical vapor deposition chamber
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6284051B1 (en) * 1999-05-27 2001-09-04 Ag Associates (Israel) Ltd. Cooled window
US6310327B1 (en) * 1993-01-21 2001-10-30 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
WO2002070142A1 (en) * 2000-12-06 2002-09-12 Angstron Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6384051B1 (en) * 2000-03-13 2002-05-07 American Cyanamid Company Method of treating or inhibiting colonic polyps
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6592942B1 (en) * 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
DE10136400B4 (de) * 2001-07-26 2006-01-05 Infineon Technologies Ag Verfahren zur Herstellung einer Metallkarbidschicht und Verfahren zur Herstellung eines Grabenkondensators
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US6310327B1 (en) * 1993-01-21 2001-10-30 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5935338A (en) * 1993-04-05 1999-08-10 Applied Materials, Inc. Chemical vapor deposition chamber
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6284051B1 (en) * 1999-05-27 2001-09-04 Ag Associates (Israel) Ltd. Cooled window
WO2002070142A1 (en) * 2000-12-06 2002-09-12 Angstron Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
J. Vac. Sci. Technol. B 19(5) Sep/Oct 2001, 1782-87 *

Also Published As

Publication number Publication date
TW200303371A (en) 2003-09-01
US20030175423A1 (en) 2003-09-18
US6835417B2 (en) 2004-12-28
DE10208450A1 (de) 2003-09-11

Similar Documents

Publication Publication Date Title
DE10208450B4 (de) Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
DE19853598B4 (de) Dünnschichtherstellungsverfahren mit atomarer Schichtabscheidung
DE10132882B4 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
DE3727264C2 (de)
US6835674B2 (en) Methods for treating pluralities of discrete semiconductor substrates
DE3709066C2 (de)
DE102004056170A1 (de) Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
DE112006003315T5 (de) Gaskopf und Dünnfilm-Herstellungsvorrichtung
DE102016100027B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE10392519T5 (de) System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck
DE10255688A1 (de) Verfahren und Vorrichtung zum Durchführen von sequentiellen Verfahren, die verschiedene Zeitdauern erfordern, bei der Herstellung von Halbleitervorrichtung
DE10335099B4 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US20070077356A1 (en) Method for atomic layer deposition of materials using an atmospheric pressure for semiconductor devices
WO2017212077A2 (de) Verfahren zur herstellung eines substrates mit einer bordotierten oberfläche
DE60112372T2 (de) Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung
EP3859766A1 (de) Verfahren und vorrichtung zur oberflächenbehandlung von substraten
DE10032213B4 (de) Verfahren zur Herstellung eines Kondensators für ein Halbleiterspeicherbauelement
DE4010595C2 (de)
DE60108078T2 (de) Heizungsanlage und Verfahren zur Heizung für einen Reaktor
DE10236896A1 (de) Vorrichtung und Verfahren zum thermischen Behandeln von Halbleiterwafern
DE10297788B4 (de) Vorrichtung für die Herstellung einer Halbleitervorrichtung mit zwei Kammern und Verfahren für die Herstellung einer Halbleitervorrichtung unter Verwendung dieser Vorrichtung
EP1133593A1 (de) Verfahren zum aufwachsen einer kristallinen struktur
DE10245553A1 (de) Verfahren und Ofen zur Gasphasenabscheidung von Komponenten auf Halbleitersubstrate mit veränderbarer Hauptstromrichtung des Prozessgases
EP1415332B1 (de) Verfahren und Vorrichtung zum Herstellen dünner epitaktischer Halbleiterschichten
DE102004024207A1 (de) Verfahren und Vorrichtung zur Niedertemperaturepitaxie auf einer Vielzahl von Halbleitersubstraten

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee