KR102207992B1 - 서브-포화된 원자층 증착 및 등각막 증착 - Google Patents

서브-포화된 원자층 증착 및 등각막 증착 Download PDF

Info

Publication number
KR102207992B1
KR102207992B1 KR1020130126834A KR20130126834A KR102207992B1 KR 102207992 B1 KR102207992 B1 KR 102207992B1 KR 1020130126834 A KR1020130126834 A KR 1020130126834A KR 20130126834 A KR20130126834 A KR 20130126834A KR 102207992 B1 KR102207992 B1 KR 102207992B1
Authority
KR
South Korea
Prior art keywords
reactant
dose
film
plasma
substrate surface
Prior art date
Application number
KR1020130126834A
Other languages
English (en)
Other versions
KR20140051807A (ko
Inventor
샹카르 스와미나탄
후 강
애드리언 라보이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140051807A publication Critical patent/KR20140051807A/ko
Priority to KR1020210008342A priority Critical patent/KR102328850B1/ko
Application granted granted Critical
Publication of KR102207992B1 publication Critical patent/KR102207992B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Abstract

플라즈마-활성화된 서브-포화된 원자층 증착을 사용하여 연속적인 박막들을 증착시키기 위한 방법들 및 장치가 여기에 설명된다. 다양한 실시형태들에 따르면, 핀-홀 없는 연속적인 막들은 종래의 방법들을 이용하여 달성가능한 것보다 더 얇은 두께로 증착될 수도 있다. 방법들 및 장치는 또한, 높은 정도의 두께 제어를 제공하며, 몇몇 실시형태들에서, 막들의 사이클 당 두께는 0.1Å 만큼 낮게 튜닝가능하다. 추가적으로, 방법들 및 장치는, 몇몇 실시형태들에서, 더 낮은 습식 에칭 레이트와 같은 개선된 속성들을 갖는 막들을 제공하기 위해 사용될 수도 있다.

Description

서브-포화된 원자층 증착 및 등각막 증착{SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION}
본 출원은, 발명의 명칭이 "SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" 이고 2012년 10월 23일자로 출원된 미국 가특허출원 제 61/717/576호의 이익을 주장하며, 그 가특허출원은 그 전체가 모든 목적들을 위해 여기에 참조로서 포함된다.
원자층 증착 (ALD) 프로세스들은 반도체 디바이스들의 제조를 위해 다양한 박막층들을 증착시키는데 사용될 수도 있다. 종래의 CFD 프로세싱에서, 각각의 하프-반응 (half-reaction) 은 포화를 수반한다. 몇몇 조건들 하에서, 몇몇 ALD는 웨이퍼 상의 불완전한 막 증착, 막 아일랜딩 (film islanding) 및 막 두께 변화를 초래한다. 불완전한 막 증착을 해결하기 위한 몇몇 접근법들은, 막 전구체로 웨이퍼 표면을 포화시키기 위해 더 긴 도즈 (dosing) 시간들을 사용함으로써 오버도즈 (overdosing) 하는 것을 포함할 수도 있다. 그러나, 연장된 도즈 시간은 막 핵형성 페이즈 (phase) 들 동안 값비싼 전구체를 낭비할 수도 있다. 프로세싱 시간을 연장하는 부가적인 효과는 프로세싱 툴 스루풋을 감소시킬 수도 있으며, 제조 라인을 지원하기 위해 부가적인 프로세스 툴들의 인스톨 및 유지보수를 요구한다. 그러한 접근법들에 의해 생성된 막들은 또한, 부적절한 디바이스 성능을 제공하는 물리적, 화학적, 또는 전기적 특징들을 가질 수도 있다.
기판 표면 상에 막을 증착시키기 위한 방법들 및 장치가 여기에 설명된다. 방법들은, 플라즈마-활성화된 표면-중재된 (surface-mediated) 반응들을 수반하며, 그에 의해, 막이 반응물 흡착 및 반응의 다수의 사이클들에 걸쳐 성장된다. 다양한 실시형태들에 따르면, 여기에 설명된 방법들은 서브-포화된 층을 형성하는 기판 상의 표면을 언더-포화(under-saturate) 시키기 위한 레벨들에서 제 1 반응물을 도즈하고, 후속하여 막의 서브-모노층을 형성하기 위한 서브-포화된 층의 플라즈마 활성화의 다수의 사이클들을 수반한다.
일 양태에서, 기판 표면 상에 막을 증착시키는 방법이 제공된다. 다양한 실시형태들에 따르면, 기판은 반응 챔버에 제공된다. 제 1 반응물은, 제 1 반응물이 표면 상에서 흡착되게 하는 조건들 하에서 반응 챔버에 증기 페이즈로 도입된다. 다양한 실시형태들에서, 제 2 반응물은, 도즈 시간 동안 반응 챔버에 증가 페이즈의 서브-포화된 도즈로 도입된다. 많은 실시형태들에서, 막을 형성하기 위해 기판 표면 상에서 제 1 및 제 2 반응물들 사이에서 표면 반응을 일으키게 하도록 제 2 반응물의 도즈가 중지될 경우, 기판은 플라즈마에 주기적으로 노출된다.
몇몇 실시형태들에서, 반응물들 중 적어도 하나가 기판에 노출되면서 가스 페이지에 있는 동안, 플라즈마가 점화된다. 다양한 실시형태들에서, 증착 온도는 약 200℃ 미만이다. 몇몇 실시형태들에서, 증착 온도는 약 150℃ 미만이다.
다양한 실시형태들에서, 플라즈마 노출은 적어도 50J/mL의 변환 효율도를 가지며, 여기서, 변환 효율도는 서브-포화된 도즈에서 제 2 반응물 플럭스의 유닛 당 적용된 플라즈마 에너지의 양이다. 몇몇 실시형태들에서, 변환 효율도는 적어도 150J/mL이다.
몇몇 실시형태들에서, 제 1 반응물은 산화제이다. 많은 실시형태들에서, 제 2 반응물의 포화된 도즈는 제 2 반응물의 도즈를 도입하기 전에 도즈 포화 곡선을 통해 결정된다. 다양한 실시형태들에서, 서브-포화된 도즈는 제 2 반응물의 약 4마이크로리터들 미만이다. 몇몇 실시형태들에서, 서브-포화된 도즈는 포화된 도즈의 약 60% 미만이다.
몇몇 실시형태들에 따르면, 증기 페이즈의 잔류 제 2 반응물은, 약 2 미만의 퍼지 (purge) 시간 대 서브-포화된 도즈 시간 비율에 대해, 기판을 플라즈마에 노출하기 전에 퍼지 시간 동안 퍼지된다. 다양한 실시형태들에서, 제 2 반응물은 적어도 50Da의 주변 원자 분자량을 갖는 전구체 분자이다. 몇몇 실시형태들에서, 제 2 반응물은 적어도 140Da의 주변 원자 분자량을 갖는 전구체 분자이다.
다양한 실시형태들에 따르면, 증착된 막은 두께가 약 0.5Å 미만이다. 몇몇 실시형태들에서, 증착된 막은 두께가 약 0.1Å 미만이다. 많은 실시형태들에서, 증착된 막은 1.0% 미만의 웨이퍼 비균일도 내에 있다.
다른 양태에서, 기판 표면 상에 막을 증착시키기 위한 방법이 제공된다. 기판은 단일 스테이션 또는 멀티-스테이션 반응 챔버에서 제공된다. 많은 실시형태들에서, 증기 페이즈의 제 1 반응물은, 제 1 반응물이 기판 표면 상에서 흡착되게 하는 조건들 하에서 챔버로 도입된다. 그 후, 다양한 실시형태들에서, 제 2 반응물의 도즈는 반응 챔버로 도입되며, 여기서, 제 2 반응물의 도즈는 서브-포화된 도즈이다. 많은 실시형태들에서, 막을 형성하기 위해 제 2 반응물의 도즈가 기판 표면 상의 제 1 및 제 2 반응물들 사이에서 표면 반응을 일으키도록 중지될 경우, 기판 표면은 플라즈마에 주기적으로 노출된다. 많은 실시형태들에서, 생성된 플라즈마는 챔버 당 적어도 약 200줄의 에너지를 갖는다.
많은 실시형태들에서, 증착 온도는 약 150℃ 미만이다. 다양한 실시형태들에서, 제 2 반응물의 도즈는, 제 2 반응물의 도즈를 도입하기 전에 도즈 포화 곡선을 통해 결정된다. 몇몇 실시형태들에 따르면, 플라즈마 노출은 적어도 50J/mL의 변환 효율도를 갖는다. 많은 실시형태들에서, 증착된 막은 두께가 약 0.1Å 미만이다.
또 다른 양태는 반도체 기판을 프로세싱하도록 구성된 장치에 관한 것이다. 다양한 실시형태들에 따르면, 장치는 반응 챔버, 반응 챔버에 가스 페이즈 반응물들을 전달하기 위한 입구 포트, 반응 챔버에 플라즈마를 제공하기 위한 플라즈마 생성기, 및 제어기를 포함한다. 제어기는, 장치 내의 동작들을 제어하도록 구성되며, 제 1 반응물이 기판 표면 상에서 흡착되게 하는 조건들 하에서 반응 챔버에 증기 페이즈의 제 1 반응물을 흐르게 하기 위한 머신 판독가능 명령들, 도즈 시간 동안 반응 챔버에 증기 페이즈의 제 2 반응물의 도즈를 흐르게 하기 위한 머신 판독가능 명령들로서, 제 2 반응물의 도즈는 서브-포화된 도즈인, 제 2 반응물의 도즈를 흐르게 하기 위한 머신 판독가능 명령들, 및 막을 형성하기 위해 제 2 반응물의 도즈가 기판 표면 상의 제 1 및 제 2 반응물들 사이에서 표면 반응을 일으키게 하도록 중지될 경우 적어도 50J/mL의 변환 효율도로 반응 챔버에 플라즈마를 공급하기 위한 머신-판독가능 명령들을 포함한다.
이들 및 다른 양태들이 도면들을 참조하여 추가적으로 후술된다.
도 1은 기재된 실시형태들에 따른, 예시적인 플라즈마-활성화된 서브-포화된 원자층 증착 (SS-ALD) 프로세스에 대한 타이밍도를 개략적으로 도시한다.
도 2는 기재된 실시형태들에 따른, 다른 예시적인 플라즈마-활성화된 SS-ALD 프로세스에 대한 타이밍도를 개략적으로 도시한다.
도 3a는 다양한 실시형태들에 따른 도즈 포화 곡선의 일 예를 도시한다.
도 3b는 다양한 도즈 시간들을 사용하여 증착된 실리콘 산화물 막들의 비균일도 및 증착 레이트에 관련된 데이터를 도시한다.
도 4a는 다양한 도즈 시간들을 사용하여 증착된 실리콘 산화물 막들의 비균일도 및 증착 레이트에 관련된 데이터를 도시한다.
도 4b는 다양한 온도들에서 증착되는 실리콘 산화물 막들의 습식 에칭 속성들에 관련된 데이터를 도시한다.
도 4c는 400℃에서 증착된 막들에 대한 변환 효율도 및 습식 에칭 레이트에 관련된 데이터를 도시한다.
도 4d는 200℃에서 증착된 막들에 대한 변환 효율도 및 습식 에칭 레이트에 관련된 데이터를 도시한다.
도 4e는 압력을 변화시키면서, 50℃에서 증착된 막들에 대한 높은 무선 주파수 에너지 및 열적 산화물에 대한 습식 에칭 레이트 비율들에 관련된 데이터를 도시한다.
도 4f는 시간들에 따른 다양한 RF 플라즈마를 사용하는 증착 레이트 및 비균일도에 관련된 데이터를 도시한다.
도 4g는 다양한 사후-도즈 퍼지 시간들을 사용하는 증착 레이트 및 비균일도에 관련된 데이터를 도시한다.
도 5는 본 발명의 일 실시형태에 따른 복수의 프로세스 스테이션들 및 제어기를 포함하는 예시적인 프로세스 툴을 개략적으로 도시한다.
도 6은 본 발명의 일 실시형태에 따른 예시적인 프로세스 스테이션을 개략적으로 도시한다.
다음의 설명에서, 다수의 특정한 세부사항들은 제공된 실시형태들의 완전한 이해를 제공하기 위해 기재된다. 기재된 실시형태들은 이들 특정한 세부사항들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예시들에서, 잘 알려진 프로세스 동작들은 기재된 실시형태들을 불필요하게 불명료하게 하지 않기 위해 상세히 설명되지 않는다. 기재된 실시형태들이 특정한 실시형태들과 함께 설명될 것이지만, 그것은 기재된 실시형태들을 제한하도록 의도되지 않음을 이해할 것이다.
반도체 디바이스들의 제조는 통상적으로, 집적 제조 프로세스에서 비평평한 기판 상에 하나 이상의 박막들을 증착시키는 것을 수반한다. 집적 프로세스의 몇몇 양태들에서, 기판 토포그래피에 일치하는 박막들을 증착시키는 것이 유용할 수도 있다. 예를 들어, 실리콘 니트라이드 막은, 후속 이온 주입 프로세스들로부터 약간-도핑된 소스 및 드레인 영역들을 보호하기 위한 스페이서 층으로서 작동하기 위해 상승된 게이트 스택의 상단 상에 증착될 수도 있다.
원자층 증착 (ALD) 프로세스들은 층 당 기반으로 (on a layer-by-layer basis) 막들을 증착시키기 위해 표면-중재된 증착 반응들을 사용한다. 예를 들어, 표면 반응 사이트들의 집단을 포함하는 기판 표면은 제 1 막 전구체 (P1) 의 가스 페이즈 분포에 노출될 수도 있다. P1의 화학흡착된 종 및 물리흡착된 분자들을 포함하는 P1의 몇몇 분자들은 기판 표면 상단에 응축된 페이즈를 형성할 수도 있다. 그 후, 반응기는, 화학흡착된 종만이 남아있도록 가스 페이즈 및 물리흡착된 P1을 제거하기 위해 비워진다. 그 후, 제 2 막 전구체 (P2) 는, P2의 몇몇 분자들이 기판 표면으로 흡착되도록 반응기에 도입된다. 또한, 반응기는 자유로워진 P2를 제거하기 위해 비워질 수도 있다. 후속하여, 기판에 제공된 열 에너지는 P1 및 P2의 흡착된 분자들 사이의 표면 반응들을 활성화시켜서 막 층을 형성한다. 최종적으로, 반응기는 반응 부산물들 및 가능한 미반응된 P1 및 P2를 제거하기 위해 비워지며, ALD 사이클을 종료한다. 많은 ALD 사이클들은 막 두께를 구축하는데 사용될 수도 있다.
등각막 증착 (CFD) 또는 플라즈마-보조된 ALD가 또한, 층 당 기반으로 막들을 증착시키는데 사용될 수도 있다. CFD를 사용하여 막들을 형성하는 방법들은 여기에 참조로서 포함된 미국 특허 제 13/084,399호에 설명되어 있다. 맥락을 위해, CFD의 짧은 설명이 제공된다.
CFD 사이클은 여기에서의 다양한 실시형태들의 설명에 관련있을 수도 있다. 일반적으로, 사이클은 한번에 표면 증착 반응을 수행하는데 요구되는 동작들의 최소 세트이다. 하나의 종래의 CFD 사이클의 결과는 기판 표면 상의 적어도 부분적인 막 층의 생성이다. 종래의 CFD 사이클은, 기판 표면에 반응물들을 전달 및 흡착시키고, 그 후, 그들 흡착된 반응물들을 반응시켜 막의 부분 층을 형성하는데 필요한 단계들을 포함할 수도 있다. 사이클은 또한, 반응물들 또는 부산물들 중 하나 이상을 스윕 (sweep) 하는 것, 또는 증착된 바와 같은 부분 막을 처리하는 것과 같은 보조적인 단계들을 포함할 수도 있다. 사이클의 일 예는 다음의 동작들, 즉 (1) 반응물 A의 도즈의 전달/흡착, (2) 선택적인 퍼지 또는 스윕, (3) 반응물 B의 전달/흡착, (4) 선택적인 퍼지 또는 스윕, (5) 표면 상에 부분 막 층을 형성하기 위해 A 및 B의 표면 반응을 일으키기 위한 플라즈마 처리를 포함할 수도 있다. 종래의 ALD 및 CFD 프로세스들에서, 각각의 하프-반응 (도즈 및 활성화) 은 포화를 수반하므로, 상기 동작들 (1) 및 (3) 이 포화를 수반하며, 이는 오버도즈에 의해 부분적으로 달성된다.
많은 반도체 프로세싱 기술들은, 종래의 ALD 및 CFD 방법들을 사용하여 사이클 당 증착된 막의 최소량인 더 얇은 막들 또는 특정한 두께의 막들을 요구할 수도 있다. 사이클 당 더 얇은 막들을 증착시키는 것은 임의의 원하는 두께의 증착을 허용할 수도 있다. 또한, 반도체 제조는 습식 에칭 레이트의 개선된 조절과 같은 더 양호한 속성들을 갖는 더 밀집한 막들을 요구할 수도 있다.
서브-포화를 사용하여 등각막 증착 (CFD) 에 의해 실리콘-함유 층들을 형성하기 위한 낮은-도즈 실리콘-함유 전구체 프로세스들이 여기에 제공된다. 서브-포화는, 포화를 달성하기 위해 사용되는 통상적인 도즈보다 작거나, 종래의 ALD 또는 CFD 방법들에 의해 포화를 달성하기 위해 사용되는 오버도즈 양보다 작은 반응물의 도즈를 주입하는 것으로 정의될 수도 있다. 기재된 실시형태들의 목적들을 위해, 서브-포화를 사용하는 그러한 방법들은 "서브-포화된 ALD" (SS-ALD) 방법들로 지칭되며, 여기서, SS-ALD 방법들은 또한, 서브-포화된 CFD 방법들 및 플라즈마-향상된 PE-ALD 방법들을 포함한다.
SS-ALD 방법들에 의해 증착된 막들은 다음의 특성들: (1) 사이클 당 두께가 원하는 막의 가장 큰 결합 길이보다 작은 몇몇 경우들에서, 막 두께는 증착 사이클 당 매우 얇은 서브-포화된 층들을 증착시킴으로써 정확히 조절될 수도 있음; (2) 전체적으로, 연속적인 박막들이 증착될 수도 있음; (3) 증착된 막들은 개선된 습식 에칭 레이트 제어와 같은 개선된 속성들을 가질 수도 있음; 및 (4) 스윕 시간들이 증가되거나 배제되도록 더 적은 전구체가 사용되고 스루풋이 증가됨을 가질 수도 있다. 언더포화는 표면으로의 반응 종의 흐름 또는 도즈를 제한함으로써 제어될 수도 있다. 부가적인 변수들은 압력, 온도, 도즈 흐름, 도즈 시간, 및 반응 종의 선택을 포함할 수도 있다.
도 1은 플라즈마-활성화된 SS-ALD 프로세스의 예시적인 실시형태에 대한 타이밍도 (100) 를 개략적으로 도시한다. 2개의 풀 SS-ALD 사이클들이 도시되어 있다. 도시된 바와 같이, 각각은 반응물 A 페이즈 (120) 의 노출, 바로 후속하여, 반응물 B 페이즈 (140) 에 대한 서브-포화된 노출, 반응물 B 페이즈 (160) 의 스윕, 및 최종적으로 플라즈마 활성화 페이즈 (180) 를 포함한다. 도시된 실시형태들에서, 하나의 반응물 (반응물 A) 가 전달된 이후 어느 스윕 페이즈도 수행되지 않는다. 사실, 막 증착 프로세스 동안 이러한 반응물은 연속적으로 흐른다. 따라서, 반응물 A가 가스 페이즈에 있는 동안 플라즈마가 점화된다. 도시된 실시형태에서, 반응 가스들 A 및 B는 반응없이 가스 페이즈에 함께 존재할 수도 있다. 따라서, SS-ALD 프로세스에서 설명된 프로세스 단계들 중 하나 이상은 이러한 예시적인 SS-ALD 프로세스에서 단축되거나 제거될 수도 있다. 예를 들어, A 노출 페이즈들 (120A 및 120B) 이후의 스윕 단계들이 제거될 수도 있다.
도 1은 또한, 다양한 SS-ALD 프로세스 파라미터들에 대한 예시적인 SS-ALD 페이즈들의 시간적 진행의 일 실시형태를 도시한다. 도 1은 2개의 예시적인 증착 사이클들 (110A 및 110b) 을 도시하지만, 임의의 적절한 수의 증착 사이클들이 원하는 막 두께를 증착시키기 위해 SS-ALD 프로세스에 포함될 수도 있음을 인식할 것이다. 예시적인 SS-ALD 프로세스 파라미터들은, 서브-포화의 레벨, 플라즈마 전력 및 주파수, 불활성 및 연속-흐름 반응 종의 유동률들, 기판 온도 및 프로세스 스테이션 압력을 포함하지만 이에 제한되지는 않는다.
SS-ALD 사이클은 통상적으로 에칭 반응물에 대한 노출 페이즈를 포함한다. 이러한 "노출" 페이즈 동안, 기판 표면 상에서 반응물의 흡착을 초래하기 위해 반응물이 프로세스 챔버에 전달된다. 통상적으로, 노출 페이즈의 시작에서, 기판 표면은 임의의 적절한 양의 흡착된 반응물을 갖지 못할 수도 있다. 도 1에서, 반응물 A 노출 페이즈들 (120A 및 120B) 에서, 반응물 A는, 기판의 노출된 표면들 상의 반응 사이트들과 반응하기 위해 프로세스 스테이션에 제어된 유동률로 공급된다. 반응물 A는 임의의 적절한 증착 반응물일 수도 있으며, SS-ALD 방법이 실리콘 산화물 막을 증착시키는데 사용되는 일 예에서, 반응물 A는 산소일 수도 있다.
도 1에 도시된 실시형태에서, 반응물 A는 증착 사이클들 (110A 및 110B) 전반에 걸쳐 연속적으로 흐른다. 반응물들 A 및 B는 SS-ALD 프로세스의 몇몇 실시형태들의 가스 페이즈에서 섞이도록 허용되며, 일반적으로, 임의의 일 사이클에서 가스 페이즈로 서브-포화된 양의 반응물 B가 존재한다. 몇몇 실시형태들에서, 반응물들 A 및 B는, 그들이 표면 반응의 활성화 또는 플라즈마 에너지의 적용 전에 챔버에서 마주치는 조건들 하에서 서로 적절히 반응하지 않으면서 가스 페이즈로 함께 존재할 수 있도록 선택된다. 몇몇 경우들에서, 반응물들은, (1) 그들 사이의 반응이 열역학적으로 바람직하고 (즉, Gibb의 자유 에너지<0) (2) 원하는 증착 온도 부재 (absent) 플라즈마 활성화 시에 무시가능한 반응이 존재하는 충분히 높은 활성화 에너지를 반응이 갖도록 선택된다.
도 1에 도시된 실시형태가 일정한 유동률을 갖는 것으로서 반응물 A 노출 페이즈들 (120A 및 B) 을 도시하지만, 가변 흐름을 포함하는 반응물 A의 임의의 적절한 흐름이 본 발명의 범위 내에서 이용될 수도 있음을 인식할 것이다. 추가적으로, 도 1이 전체 SS-ALD 사이클 (증착 사이클 (110A)) 동안 일정한 유동률을 갖는 반응물 A를 도시하지만, 이것은 그 경우를 필요로 하지 않는다. 예를 들어, 반응물 A의 유동률은 B 노출 페이즈들 (140A 및 140B) 동안 감소할 수도 있다. 이것은 B의 부분 압력을 증가시키고, 그에 의해, 기판 표면 상에 흡착하는 반응물 B의 추진력 (driving force) 을 증가시킬 수도 있다.
선택적으로, 반응물 A 노출 페이즈 (120A) 는 불활성 가스의 제어된 유동률을 포함한다. 예시적인 불활성 가스들은 질소, 아르곤 및 헬륨을 포함하지만 이에 제한되지는 않는다. 프로세스 스테이션의 압력 및/또는 온도 제어, 액체 전구체의 증발, 프로세스 스테이션으로부터 프로세스 가스들을 제거하기 위한 스윕 가스 및/또는 전구체의 더 신속한 전달 및/또는 프로세스 스테이션 배관에 관해 보조하기 위해 불활성 가스가 제공될 수도 있다.
도 1에 도시된 실시형태의 반응물 B 노출 페이즈 (140A) 에서, 반응물 B는 서브-포화된 레벨에서 노출된 기판 표면 상의 반응 사이트들과 반응하기 위해 프로세스 스테이션에 제어된 유동률로 공급된다. 일 예시적인 실리콘 디옥사이드 막에서, 반응물 B는 비스(3-부틸아미노)실란(SiH2(NHC(CH3)3)2)(BTBAS) 일 수도 있다. 도 1의 실시형태가 일정한 유동률을 갖는 것으로서 반응물 B 노출 페이즈 (140A) 를 도시하지만, 가변 흐름을 포함하는 반응물 B의 임의의 적절한 흐름이 본 발명의 범위 내에서 이용될 수도 있음을 인식할 것이다. 추가적으로, 반응물 B 노출 페이즈 (140A) 가 임의의 적절한 지속기간을 가질 수도 있음을 인식할 것이다. 선택적으로, 반응물 B 노출 페이즈 (140A) 는, 상술된 바와 같이, 프로세스 스테이션의 압력 및/또는 온도 제어, 액체 전구체의 증발, 전구체의 더 신속한 전달에 관해 보조할 수도 있고 프로세스 스테이션 가스들의 역확산 (back diffusion) 을 방지할 수도 있는 적절한 불활성 가스의 제어된 흐름을 포함할 수도 있다.
부가적으로 또는 대안적으로, 몇몇 실시형태들에서, 하나 이상의 스윕 페이즈들이 반응물 B의 연속 노출들 사이에 포함될 수도 있다. 예를 들어, 도 2의 실시형태는, 증착 사이클 (210) 에 대한 예시적인 SS-ALD 프로세스 타이밍도 (200) 를 개략적으로 도시한다. 반응물 B 노출 페이즈 (260A) 에서, 서브-포화 양의 반응물 B가 기판 표면에 노출된다. 후속하여, 스윕 페이즈 (260A) 에서, 반응물 B는 턴 오프되며, 반응물 B의 가스 페이즈 종은 프로세스 스테이션으로부터 제거된다. 일 시나리오에서, 가스 페이즈 반응물 B는 반응물 A 및/또는 불활성 가스의 연속적인 흐름에 의해 대체될 수도 있다. 다른 시나리오에서, 가스 페이즈 반응물 B는 프로세스 스테이션을 비움으로써 제거될 수도 있다. 가스 페이즈 반응물 B의 제거는 흡착/탈착 프로세스 평형을 시프트하여, 리간드들을 탈착시키고, 흡착된 B의 표면 재배열이 흡착된 B의 불연속적인 아일랜드들을 병합하도록 촉진한다. 반응물 B 노출 페이즈 (260B) 에서, 반응물 B는 다시 기판 표면에 노출된다. 도 2에 도시된 실시형태가 반응물 B 스윕 및 노출 사이클의 하나의 예를 포함하지만, 스윕 및 노출 사이클들을 교번하는 임의의 적절한 수의 반복들이 본 발명의 범위 내에서 이용될 수도 있음을 인식할 것이다.
도 1의 실시형태를 참조하면, (180A) 의 플라즈마에 의한 활성화 이전에, 몇몇 실시형태들에서, 가스 페이즈 반응물 B는 스윕 페이즈 (160A) 에서 프로세스 스테이션으로부터 제거될 수도 있다. SS-ALD 사이클은 상술된 노출 페이즈들에 부가하여 하나 이상의 스윕 페이즈들을 포함할 수도 있다. 프로세스 스테이션을 스윕하는 것은, 반응물 B가 플라즈마 활성화에 민감한 가스 페이즈 반응들을 회피할 수도 있다. 추가적으로, 프로세스 스테이션을 스윕하는 것은, 그렇지 않으면 남아있고 막을 오염시킬 수도 있는 표면 흡착된 리간드들을 제거할 수도 있다. 예시적인 스윕 가스들은 아르곤, 헬륨 및 질소를 포함하지만 이에 제한되지는 않는다. 도 1에 도시된 실시형태에서, 스윕 페이즈 (160A) 에 대한 스윕 가스는 불활성 가스 스트림에 의해 공급된다. 몇몇 실시형태들에서, 스윕 페이즈 (160A) 는 프로세스 스테이션을 비우기 위한 하나 이상의 비움 서브페이즈들을 포함할 수도 있다. 대안적으로, 스윕 페이즈 (160A) 는 많은 실시형태들에서 생략될 수도 있다.
스윕 페이즈 (160A) 는 임의의 적절한 지속기간을 가질 수도 있다. 몇몇 실시형태들에서, 하나 이상의 스윕 가스들의 유동률을 증가시키는 것은, 스윕 페이즈 (160A) 의 지속기간을 감소시킬 수도 있다. 예를 들어, 스윕 가스 유동률은, 스윕 페이즈 (160A) 의 지속기간을 조절하기 위해 프로세스 스테이션 및/또는 프로세스 스테이션 배관의 다양한 반응적인 열역학적 특징들 및/또는 지오메트릭 특징들에 따라 조정될 수도 있다. 일 예에서, 스윕 페이즈가 생략될 수도 있다. 이것은 증착 사이클 시간을 감소시킬 수도 있으며, 이는 기판 스루풋을 개선시킬 수도 있다.
SS-ALD 사이클은 일반적으로, 상술된 노출 및 선택적인 스윕 페이즈들에 부가하여 "활성화 페이즈" 를 포함한다. 활성화 페이즈는, 기판 표면 상에 흡착된 하나 이상의 반응물들의 반응을 일으키게 하도록 기능한다. 도 1에 도시된 실시형태의 플라즈마 활성화 페이즈 (180A) 에서, 플라즈마 에너지는 표면 흡착된 반응물들 A 및 B 사이의 표면 반응들을 활성화시키도록 제공된다. 예를 들어, 플라즈마는 반응물 A 라디컬들을 형성하기 위해 반응물 A의 가스 페이즈 분자들을 직접적으로 또는 간접적으로 활성화시킬 수도 있다. 그 후, 이들 라디컬들은 서브-포화된 표면 흡착된 반응물 B와 상호작용할 수도 있으며, 막-형성 표면 반응들을 초래한다. 플라즈마 활성화 페이즈 (180A) 는 증착 사이클 (110A) 을 종결하며, 도 1의 실시형태에서, 반응물 A 노출 페이즈 (120B) 로 시작하는 증착 사이클 (110B) 가 후속한다.
몇몇 실시형태들에서, 플라즈마 활성화 페이즈 (180A) 에서 점화되는 플라즈마는 기판 표면 위에 직접 형성될 수도 있다. 이는, 반응물들 A 및 B 사이에 더 큰 플라즈마 밀도 및 향상된 표면 반응 레이트를 제공할 수도 있다. 예를 들어, SS-ALD 프로세스들에 대한 플라즈마들은, 2개의 용량성 커플링된 판들을 사용하여 낮은-압력 가스에 무선 주파수 (RF) 필드를 적용함으로써 생성될 수도 있다. 대안적인 실시형태들에서, 원격으로 생성된 플라즈마는 메인 반응 챔버 외부에서 생성될 수도 있다.
플라즈마 활성화 페이즈 (180A) 는 임의의 적절한 지속기간을 가질 수도 있다. 몇몇 실시형태들에서, 플라즈마 활성화 페이즈 (180A) 는, 플라즈마-활성화된 라디컬들이 모든 노출된 기판 표면들 및 흡착물들과 상호작용하기 위한 시간을 초과하는 지속기간을 가질 수도 있으며, 그에 의해, 결국 기판 표면 상단에 연속적인 막을 형성한다.
종래의 CFD 방법들은 표면이 포화되거나 오버포화되도록 반응물들에 기판들을 노출시키지만, 감소된 흐름들을 사용하는 막들을 증착시키기 위한 개선된 방법들이 여기에 제공된다. 감소된 흐름들은, 적절한 포화 및 증착 레이트들을 산출할 수 있는 것으로 발견되었다. 특히, 플라즈마 활성화 스테이지들 (예를 들어, 도 1의 스테이지들 (180A 및 180B) 및 도 2의 스테이지들 (280A 및 280B)) 이 몇몇 실시형태들에서, 종래의 CFD 또는 플라즈마 향상된 ALD 방법들보다 SS-ALD를 이용하여 더 큰 변환을 갖는다는 것이 발견되었다. 추가적으로 후술되는 바와 같이, 이것은 개선된 속성들을 갖는 막들을 제공할 수 있다.
SS-ALD 방법들을 사용하는 반도체 웨이퍼의 프로세싱을 개시하기 위해, 선택된 서브-포화 도즈 양이 알려져 있을 수도 있다. 그러한 양은 수 개의 방법들 중 하나에 의해 결정될 수도 있다. 예를 들어, 도즈 포화 곡선은 SS-ALD에 의한 증착의 각각의 사이클에서 사용하기 위한 전구체의 양을 결정하는데 사용될 수도 있다. 도즈 포화 곡선은 임의의 주어진 전구체 및 프로세스 조건들에 대해 획득될 수도 있다. 예를 들어, 도 3a에서, 일반적인 도즈 포화 곡선이 도시되어 있다. 임의의 주어진 전구체에 대해, 도 3a에 도시된 것과 같은 곡선은, 100% 포화양의 백분율로서 측정된 도즈 양에 의존할 경우의 사이클 당 옹스트롱의 상대적인 증착 레이트를 표시하며, 여기서, 포화량은 주어진 웨이퍼의 표면을 완전히 커버하는데 요구되는 전구체 반응물의 양에 의해 정의된다. 도즈 양은 통상적으로, 유동률 및 도즈 시간에 의해 측정된다. 예를 들어, 도즈 양은 도즈 당 전구체의 마이크로리터로 전구체 플럭스로서 측정될 수도 있다. 이것은, 플럭스를 결정하기 위해 유동률 (mL/분) 을 도즈 시간 (초) 과 곱하고 그 양을 마이크로리터로 변환함으로써 계산될 수도 있다.
종래의 CFD 방법들은 통상적으로, 포화량의 적어도 100%의 도즈 양을 사용한다. 종래의 방법들은 또한, 125% 또는 150% 또는 그 초과와 같은 오버-포화 방법들 또는 오버-도즈를 사용한다. 여기에 제공된 실시형태들에서, 도즈 양은 도 3a의 서브-포화 레지메 (regime) 에서 100% 의 포화 포인트보다 적은 임의의 양일 수도 있다. 예를 들어, 도즈 양은 BTBAS와 같이 전구체에 대해 사용된 통상적인 양의 40% 내지 60%일 수도 있다. 특정한 예에서, BTBAS의 0.5mL/분의 유동률은 기재된 실시형태들에 따른 SS-ALD 프로세스에서 300mm 웨이퍼에 대해 0.2초 도즈들과 함께 사용될 수도 있다. 300mm 웨이퍼 상의 BTBAS를 사용한 증착에 대해, 도즈 당 4.0마이크로리터 미만의 전구체 플럭스는 서브-포화를 구성할 수도 있다.
실험적인 결과들로부터 획득된 도즈 포화 곡선의 일 예는 도 3b에 도시되어 있다. 초 단위의 BTBAS의 전구체 도즈가 Å/사이클로 증착 레이트에 대해 도시되며, 다이아몬드-형상 포인트들 및 접속된 커브에 의해 표현된다. 증착 레이트는 0.5초의 도즈 시간에 대해 약 1.52Å/사이클로 평평하게 된다. 비균일도 (NU%) 는 1.480Å/사이클의 증착 레이트에 관해 0.3초 도즈 시간에서 최저이다. 이것은, 기재된 실시형태들에 따라 사용될 수도 있는 서브-포화된 레지메일 수도 있다.
SS-ALD 프로세스는 임의의 수의 상이한 타입들의 막을 증착시키는데 이용될 수도 있다. 니트라이드들 및 산화물들이 피쳐된 (featured) 유전체 재료들이지만, 카바이드들, 옥시니트라이드들, 카본-도핑된 산화물들, 붕소화물 등이 또한 형성될 수도 있다. 산화물들은 미도핑된 실리케이트 글래스 (USG) 및 도핑된 실리케이트 유리를 포함하는 광범위한 범위의 재료들을 포함한다. 도핑된 글래스들의 예들은, 붕소 도핑된 실리케이트 글래스 (BSG), 인 도핑된 실리케이트 글래스 (PSG) 및 붕소 인 도핑된 실리케이트 글래스 (BPSG) 를 포함한다. 예를 들어, SS-ALD 프로세스는 실리콘 산화물 막을 증착시키는데 사용될 수도 있다. 여기에서의 실시형태들은 특정한 반응물들 또는 막 타입들에 제한되지 않는다.
반응물들의 예들이 제공된다. 여기에서의 설명은 "주요" 및 "보조" 반응물들이라는 용어들을 사용한다. 여기에 사용된 바와 같이, 주요 반응물은 실온에서 고체인 엘리먼트를 포함하며, 그 엘리먼트는 SS-ALD에 의해 형성된 막에 기여한다. 그러한 엘리먼트들의 예들은 금속들 (예를 들어, 알루미늄, 티타늄 등), 반도체들 (예를 들어, 실리콘 및 게르마늄) 및 비금속들 또는 반금속들 (예를 들어, 붕소) 이다. 여기에 사용된 바와 같이, 보조 반응물은 주요 반응물이 아닌 임의의 반응물이다. 공통-반응물 (co-reactant) 이라는 용어는 종종 보조 반응물들을 지칭하는데 사용된다. 보조 반응물들의 예들은 산소, 오존, 수소, 카본 모노사이드, 아질산 산화물, 암모니아, 알킬 아민들 등을 포함한다.
임의의 적절한 실리콘-함유 반응물 및 산화제는 실리콘 옥사이드들의 증착을 위해 사용될 수도 있다. 유사하게, 실리콘 니트라이드들의 증착에 대해, 임의의 적절한 실리콘-함유 반응물 및 질소-함유 반응물이 사용될 수도 있다. 예시적인 유동률들이 300mm 웨이퍼들에 대해 주어지며, 다른 사이즈들의 웨이퍼들에 대해 적절하게 스캐일될 수도 있다. 실리콘 화합물은, 예를 들어, 실란, 할로실란 또는 아미노실란일 수 있다.
실란은 수소 및/또는 카본 그룹들을 포함하지만, 할로겐을 포함하지는 않는다. 실란들의 예들은, 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 알릴실란, 세크-부틸실란 (sec-butylsilane), 세릴-실란, 이소아밀실란, t-부틸디실란, 디-t-부틸디실란 등과 같은 실란 (SiH4), 디실란 (Si2H6) 및 특히 유기 실란들이다.
할로실란은 적어도 하나의 할로겐 족을 포함하며, 수소들 및/또는 카본 족들을 포함할 수도 있거나 포함하지 않을 수도 있다. 할로실란들의 예들은 요오드시란들, 브로모실란들, 클로로실란들 및 플로오로실란들이다. 할로실란들, 특히 플로오로실란들이 실리콘 재료들을 에칭할 수 있는 반응성 할로겐화물 종을 형성할 수도 있지만, 여기에 설명된 특정한 실시형태들에서, 실리콘-함유 반응물은 플라즈마가 발생 (strike) 될 경우 존재하지 않는다. 특정한 클로로실란들은, 테트라클로로실란 (SiCl4), 트리클로로실란 (HSiCl3), 디클로로실란 (H2SiCl2), 모노클로로실란 (ClSiH3), 클로로알릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로이소프로필실란, 클로로-세크-부틸실란, t-부틸디메틸클로로실란, 세릴디메틸클로로실란 등이다.
아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 수소들, 산소들, 할로겐들 및 카본들을 또한 포함할 수도 있다. 아미노실란들의 예들은, 모노-, 디-, 트리- 및 테트라-아미노실란 (각각, H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4) 뿐만 아니라 치환된 모노-, 디-, 트리- 및 테트라-아미노실란, 예를 들어, t-부틸아미노실란, 메틸아미노실란, 테트-부틸실란아민, 비스(3-부틸아미노)실란 (SiH2(NHC(CH3)3)2 (BTBAS)), 테트-부틸 실리카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3, 디이소프로필아미노실란, 비스(디에틸아미노)실란, 트리스(디메틸아미노)실란 (TDMAS) 등이다. 아미노실란의 추가적인 예는 트리실란아민 (N(SiH3)3) 이다.
다른 경우들에서, 증착된 막은 금속을 포함한다. 형성될 수도 있는 금속-함유 막들의 예들은, 알루미늄, 티타늄, 하프늄, 탄탈륨, 텅스텐, 망간, 마그네슘, 스트론튬 등의 산화물들 및 니트라이드들 뿐만 아니라 금속 원소 막들을 포함한다. 예시적인 전구체들은, 금속 알킬아민들, 금속 알콕사이드들, 금속 알킬아미드들, 금속 할로겐화물들, 금속 β-디케토네이트들, 금속 카르보닐들, 유기금속들 등을 포함할 수도 있다. 적절한 금속-함유 전구체들은 막에 포함되도록 소망되는 금속을 포함할 것이다. 예를 들어, 탄탈륨-함유 층은, 보조 반응물로서 암모니아 또는 다른 환원제와 펜타키스(디메틸아미도)탄탈륨을 반응시킴으로써 증착될 수도 있다. 이용될 수도 있는 금속-함유 전구체들의 추가적인 예들은, 트리메틸알루미늄, 테트라에톡시티타늄, 테트라키스-디메틸-아미도 티타늄, 하프늄 테트라키스(에틸메틸아미드), 비스(시클로펜타디에닐)망간, 및 비스(n-프로필시클로펜타디에닐)마그네슘 등을 포함한다.
몇몇 실시형태들에서, 증착된 막은 질소를 포함하고, 질소-함유 반응물이 사용된다. 질소-함유 반응물은 적어도 하나의 질소, 예를 들어, 암모니아, 하이드리진, 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 사이클로프로필아민, 세크-부틸아민, 사이클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸히드라진과 같은 아민들 (예를 들어, 아민 함유 카본) 뿐만 아니라 아닐린들, 피리딘들, 및 벤질아민들과 같은 방향족 함유 아민들을 포함한다. 아민들은, 1차, 2차, 3차 또는 4차 (예를 들어, 테트라알킬암모늄 화합물들)일 수도 있다. 질소-함유 반응물은 질소 이외에 이종원자를 포함할 수 있으며, 예를 들어, 히드록실아민, t-부틸옥시카보닐 아민 및 N-t-부틸 히드록실아민이 질소-함유 반응물들이다.
SS-ALD는 큰 전구체 분자들을 사용하여 막들을 증착시키는데 특히 유용할 수도 있다. 많은 실시형태들에서, 1차 반응물은 각각의 금속 또는 준금속 중심을 둘러싸는 큰 작용기를 포함한다. 큰 작용기는 다음의 특징들: 각각의 중심을 둘러싸는 원자들의 수, 둘러싼 중심 주변에 형성된 결합들의 수, 금속 중심을 둘러싸는 원자들의 분자량, 3차족의 벌키성 (bulkiness) 과 같은 고유한 입체 효과 (steric effect) 들, 또는 작용기의 반데르발스 볼륨 중 하나 이상에 의해 정의될 수도 있다. 각각의 둘러싼 원자의 반데르발스 반경들은, 개별 분자에 의해 점유된 볼륨인 반데르발스 볼륨을 평가하기 위해 결정될 수도 있다. 반데르발스 볼륨은 또한, 반데르발스 상수, 분극률, 또는 분자 굴절을 사용하는 실험적인 측정치들에 의해 결정될 수도 있다.
큰 작용기는 적어도 약 50Da (달톤 또는 통합된 원자량 단위), 또는 적어도 약 100Da, 또는 적어도 약 150Da의 주변 원자들의 분자량을 가질 수도 있다. 예를 들어, BTBAS는, 2개의 테트-부틸아민 (NHC(CH3)3) 족들을 포함하는 단일 실리콘 원자를 둘러싸는 원자들을 갖는 꽤 큰 분자이며, 둘러싸는 원자들의 총 분자량은 약 146Da이다.
SS-ALD 방법들에 의한 증착은 막들을 증착시키기 위해 큰 전구체들의 사용을 허용한다. 임의의 특정한 이론으로 제한되지는 않지만, 큰 전구체 반응물 분자의 흡착 시에 릴리즈된 리간드들이 표면 상의 몇몇 반응 사이트들을 차단시킬 수도 있으며, 따라서 전구체의 추가적인 흡착을 방지하기 때문에, 종래의 ALD 및 CFD 방법들의 입체 장애가 매우 얇은 핀홀-없는 (pinhole-free) 막들의 증착을 방지할 수 있다고 믿어진다. 종래의 ALD 및 CFD 방법들에 있어서, 임의의 하나의 사이클에서, 웨이퍼가 반응물들로 포화되므로, 표면 반응들이 발생한 이후, 이전에 차단되었던 반응 사이트들은 이제 이용가능하게 되지만, 인접한 반응 사이트들에 이미 반응된 더 많은 분자들에 의해 둘러싸인다.
여기에 설명된 바와 같은 SS-ALD 방법을 사용함으로써, 종래의 방법들을 이용한 것보다 더 얇은 핀홀-없는 막들이 형성될 수도 있다. 특정한 이론에 의해 제한되지는 않지만, 다음의 메커니즘이 큰 전구체들을 사용하여 이들 막들의 증착을 용이하게 할 수도 있다고 믿어진다. 먼저, 더 많은 퍼센트의 전구체 분자들이 하나의 사이클에서 반응 사이트들을 점유할 수 있도록 더 적은 전구체가 반응 공간으로 흐른다. 그 후, 리간드들의 일부는, 표면 상의 종의 이동성을 추가적으로 향상시키는 플라즈마 활성화 동안 릴리즈될 수도 있으며, 이전에 차단된 반응 사이트들은 반응하도록 큰 전구체들의 다음 사이클 동안 이용가능할 수도 있다. 종래의 ALD 및 CFD 방법들과는 대조적으로, 임의의 일 사이클에서, 웨이퍼가 전구체 분자들로 서브-포화되므로, 표면 반응들이 발생한 이후, 전구체 분자들이 반응하기에 이제 이용가능한 나머지 반응 사이트들은, 더 적은 이웃한 반응된 분자들에 의해 둘러싸인다. 이러한 현상은, 다음 사이클에서 도입된 전구체 분자들이 이들 사이트들에서 반응할 확률을 증가시킨다. 또한, 각각의 사이클이 서브-포화되므로, 반응 공간에 더 적은 전구체 분자들이 존재하며, 따라서, 임의의 하나의 주어진 반응 사이트에서 반응할 전구체 분자들 사이에 더 적은 경합이 존재한다. 특정한 이론에 의해 제한되지는 않지만, 이것이 각각의 서브-포화된 도즈 노출 동안 더 높은 반응 사이트 커버리지를 초래하며, 그에 의해, 핀홀 형성의 확률을 감소시키고 막의 밀도를 증가시킨다고 믿어진다. 따라서, SS-ALD 사이클들이 시간에 걸쳐 동작될 경우 균일하게 되는 훨씬 더 얇고, 더 밀집하고, 핀홀-없는 막들을 증착시키는 것이 가능할 수도 있다.
몇몇 실시형태들에서, 연속적으로 흐르는 반응물은, 산소-함유 산화 반응물과 같은 보조 반응물이다. 보조 반응물들은 수퍼-포화된 또는 포화된 레벨들로 흐를 수도 있다. 산소-함유 산화 반응물들의 예들은 산소, 오존, 아질산 산화물, 카본 모노사이드 등을 포함한다. 여기에 설명된 많은 예들이 2개의 반응물들 (예를 들어, A 및 B, 또는 주요 반응물 및 보조 반응물) 을 포함하지만, 임의의 적절한 수의 반응물들이 본 발명의 범위 내에서 이용될 수도 있음을 인식할 것이다. 몇몇 실시형태들에서, 반응물의 표면 분해 반응을 위한 플라즈마 에너지를 공급하는데 사용된 단일 반응물 및 불활성 가스가 사용될 수도 있다. 대안적으로, 몇몇 실시형태들은 막을 증착시키기 위해 3개 이상의 반응물들을 사용할 수도 있다.
연속적으로 흐르는 반응물이 일정한 유동률로 또는 변하지만 제어된 유동률로 제공될 수도 있다. 후자의 경우에서, 일 예로서, 보조 반응물의 유동률은, 주요 반응물이 전달된 경우 노출 페이즈 동안 떨어질 수도 있다. 예를 들어, 산화물 증착에서, 산화제는 전체 증착 시퀀스 동안 연속적으로 흐를 수도 있지만, 그의 유동률은 주요 반응물 (예를 들어, BTBAS) 이 전달되는 경우 떨어질 수도 있다. 플라즈마를 점화시키기 직전에, 산화제의 흐름은 플라즈마 노출 페이즈 동안 BTBAS가 존재하는 가능성을 감소시키도록 증가될 수도 있다. 몇몇 실시형태들에서, 연속적으로 흐르는 반응물은 2개 이상의 증착 사이클들 동안에 걸쳐 변하는 유동률로 흐른다. 예를 들어, 반응물은 제 1 SS-ALD 사이클 동안 제 1 유동률로 및 제 2 SS-ALD 사이클 동안 제 2 유동률로 흐를 수도 있다.
특정한 예로서, 산화물 막은 비스(테트-부틸아미노)실란 (BTBAS) 와 같은 주요 반응물을 사용하여 SS-ALD 프로세스에 의해 증착될 수도 있다. 이러한 예에서, 산화물 증착 프로세스는, 별개의 노출 페이즈들에서 주요 반응물의 전달 동안 초기에 그리고 연속적으로 흐르는 산소 또는 아질산 산화물과 같은 산화제의 전달을 수반한다. 산화제는 또한, 별개의 플라즈마 노출 페이즈들 동안 계속 흐른다. 예를 들어, 도 1에 도시된 시퀀스를 참조한다.
다수의 산화제 화합물들이 사용되면, 그들은 반응 챔버로의 전달 전에 혼합되거나 별개의 스트림들로서 전달될 수 있다. 몇몇 실시형태들에서, 산화제는, 스윕 또는 퍼지 동작들 동안 버스트로 전달된 불활성 가스 흐름과 함께 연속적으로 전달된다. 몇몇 실시형태들에서, 불활성 가스 흐름은, 퍼지 동작 동안 증가된 불활성 가스 유동률로 또는 그 유동률 없이 연속적일 수도 있다. 선택적인 스윕 또는 퍼지는 플라즈마가 소멸된 이후 발생할 수 있다.
SS-ALD "스윕" 또는 "퍼지" 단계 또는 페이즈의 개념은 여기에서의 다양한 실시형태들의 설명에 나타난다. 일반적으로, 스윕 페이즈는, 반응 챔버로부터 증기 페이즈 반응물을 제거 또는 퍼지시키며, 통상적으로, 그러한 반응물의 전달이 완료된 이후에만 발생한다. 즉, 그 반응물은 스윕 페이즈 동안 반응 챔버에 더 이상 전달되지 않는다. 그러나, 반응물은, 스윕 페이즈 동안 기판 표면 상에 흡착된 상태로 남아있다. 통상적으로, 스윕은, 반응물이 원하는 레벨로 기판 표면 상에 흡착된 이후 챔버에서 임의의 잔류 증기 페이즈 반응물을 제거하도록 기능한다. 또한, 스윕 페이즈는 기판 표면으로부터 약하게 흡착된 종 (예를 들어, 특정한 전구체 리간드들 또는 반응 부산물들) 을 제거시킬 수도 있다. 일반적으로 및 여기에 달리 특정되지 않으면, 스윕/퍼지 페이즈는, (i) 반응 챔버를 비우고, 및/또는 (ii) 반응 챔버를 통해 스윕 아웃될 종을 포함하지 않는 가스를 흐르게 함으로써 달성될 수도 있다. (ii) 의 경우에서, 그러한 가스는, 예를 들어, 불활성 가스 또는 연속적으로 흐르는 보조 반응물과 같은 보조 반응물일 수도 있다.
상이한 실시형태들은 상이한 시간들에서 스윕 페이즈들을 구현할 수도 있다. 예를 들어, 특정한 경우들에서, 스윕 단계는 다음의 시간들: (1) 주요 반응물의 전달 이후, (2) 주요 반응물을 전달하는 펄스들 사이, (3) 보조 반응물의 전달 이후, (4) 플라즈마 노출 이전, (5) 플라즈마 노출 이후, 및 (1) 내지 (5) 의 임의의 결합 중 어느 하나에서 발생할 수도 있다. 이들 시간프레임들 중 몇몇은 중첩할 수도 있다.
많은 실시형태들에서, 스윕 단계는 실질적으로 감소되거나 생략될 수도 있다. SS-ALD 동안, 더 작은 양의 전구체 또는 반응물은 반응 공간으로 흐르며, 따라서, 반응물이 기판 표면 상에 흡착된 이후 챔버에 더 적은 잔류 증기 페이즈 반응물이 존재할 수도 있다. 그로써, 증기 페이즈 반응에 의한 증착에 위협을 주지 않으면서, 더 짧은 스윕 페이즈들이 사용될 수도 있다.
도 4a는 0.2초 사후-도즈 퍼지 및 0.5초 사후-도즈 퍼지 양자 동안 SS-ALD에 의한 다양한 도즈 시간들에서의 증착 레이트 및 비균일도를 도시한다. 도시된 바와 같이, 0.2초와 0.5초 사후-도즈 퍼지 시간들 사이의 변화는 현저히 상이하지는 않으며, 따라서, 0.2초 사후-도즈 퍼지 시간은 증착 프로세스들에 대해 충분하다. 최소화된 퍼지 시간은 전체 스루풋이 증가할 수도 있다는 것을 암시한다 (suggest).
몇몇 실시형태들에서, 고주파수 무선 주파수 (HFRF) 플라즈마가 이용된다. 예시적인 고주파수 RF 주파수들은 1.8MHz와 2.45GHz 사이의 주파수들을 포함할 수도 있지만 이에 제한되지는 않는다. 몇몇 실시형태들에서, 듀얼 HF/LF RF 플라즈마가 이용된다. 예시적인 저주파수 RF 주파수들은 50kHz 와 500kHz 사이의 주파수들을 포함할 수도 있지만 이에 제한되지는 않는다.
플라즈마 생성 및 막 형성을 일으키기 위해 사용된 RF 전력이 다양한 방식들로 설명될 수도 있다. 몇몇 경우들에서, 멀티-스테이션 반응 챔버가 사용되며, 이러한 경우, 다수의 기판들 상에서 작동하는 다수의 RF 생성기들이 존재할 수도 있다. 여기에 인용된 RF 전력 레벨들은, 4개의 스테이션들을 갖는 멀티-스테이션 툴에서 전달된 전력을 반영한다. 추가적으로, 전달된 RF 전력의 절대 레벨은, 와트 단위로 인용될 경우, 300mm 웨이퍼를 프로세싱할 때 전달되는 전력에 관련된다. 여기에서의 기술들은 임의의 사이즈의 기판들을 프로세싱하는데 사용될 수도 있으며, 전력 레벨들은 기판의 영역으로 스캐일된다. 그로써, RF 전력 레벨들은 또한 전력 밀도 (예를 들어, 기판의 영역에 의해 분할된 전달된 전력) 의 단위로 인용될 수도 있다. 기판 영역은, 임의의 평평하지 않은 피쳐들을 고려하지 않으면서, 기판의 도금면의 표면 영역으로서 계산된다. 즉, 이러한 기준양 위로 표면 영역을 기술적으로 증가시킬 표면 상에 존재하는 피쳐들이 존재하는지에 관계없이, 300mm 직경의 기판은 약 707cm2의 기판 영역을 갖는 것으로 고려된다.
다양한 기재된 실시형태들에서, 전력은 스테이션 당 약 400와트와 스테이션 당 10킬로와트 사이에 있다. 몇몇 실시형태들에서, 전력은 4개의 스테이션들에 걸쳐 분배되는 6kW이다. 몇몇 경우들에서, RF 전력은 스테이션 당 약 1000 내지 3000와트 사이 (스테이션 당 약 1.4 내지 4.3W/cm2 사이), 예를 들어, 스테이션 당 약 1000 내지 2500와트 사이 (스테이션 당 약 1.4 내지 3.5W/cm2 사이) 에 있다. 그러나, 높은 RF 전력이 이용되지 않는 몇몇 경우들에서, RF 전력은 약 12와트/스테이션만큼 낮을 수도 있다. 다른 이점들 중에서, 이들 높은 RF 전력 레벨들은, 플라즈마 노출 시간이 최소화되게 하며, 그에 의해, 프로세싱 시간을 감소시키고 스루풋을 증가시킨다. 높은 RF 전력은 또한, 반응 사이트들의 활성화로 인한 개선된 막 균일도 및 주요 반응물의 서브-포화된 레벨들을 사용하는 결과로서 종의 증가된 이동성에 기여할 수도 있다.
몇몇 실시형태들에서, 플라즈마 생성기는 플라즈마 활성화 페이즈 동안 플라즈마 에너지의 간헐적인 펄스들을 제공하기 위해 제어될 수도 있다. 예를 들어, 플라즈마는, 10Hz와 500Hz 사이의 주파수들을 포함하지만 이에 제한되지는 않는 하나 이상의 주파수들로 펄싱될 수도 있다. 이것은, 연속적인 플라즈마와 비교하여 이온 충격의 방향성을 감소시킴으로써 스텝 커버리지를 향상시킬 수도 있다. 추가적으로, 이것은 기판에 대한 이온 충격 손상을 감소시킬 수도 있다. 예를 들어, 포토레지스트 기판들은 연속적인 플라즈마 동안 이온 충격에 의해 침식될 수도 있다. 플라즈마 에너지를 펄싱하는 것은 포토레지스트 침식을 감소시킬 수도 있다.
도 1에 도시된 SS-ALD 프로세스 실시형태가 플라즈마 활성화되지만, 다른 열적이지 않은 에너지 소스들이 본 발명의 범위 내에서 사용될 수도 있음을 인식할 것이다. 열적이지 않은 에너지 소스의 비-제한적인 예들은 자외선 램프들, 다운스트림 또는 원격 플라즈마 소스들, 유도성-커플링된 플라즈마들, 및 마이크로웨이브 표면 웨이브 플라즈마들을 포함하지만 이에 제한되지는 않는다.
임의의 적절한 가스가 플라즈마를 형성하기 위해 사용될 수도 있다. 제 1 예에서, 아르곤 또는 헬륨과 같은 불활성 가스가 플라즈마를 형성하기 위해 사용될 수도 있다. 제 2 예에서, 산소 또는 암모니아와 같은 반응성 가스가 플라즈마를 형성하기 위해 사용될 수도 있다. 제 3 예에서, 질소와 같은 스윕 가스가 플라즈마를 형성하기 위해 사용될 수도 있다. 물론, 이들 카테고리들의 가스들의 조합들이 이용될 수도 있다. RF 필드에 의한 판들 사이의 가스의 이온화는 플라즈마를 점화시키며, 플라즈마 방전 영역에서 자유 전자들을 생성한다. 이들 전자들은 RF 필드에 의해 가속되며, 가스 페이스 반응물 분자들과 충돌할 수도 있다. 이들 전자들의 반응물 분자들과의 충돌은 증착 프로세스에 참여하는 라디컬 종을 형성할 수도 있다. RF 필드가 임의의 적절한 전극들을 통해 커플링될 수도 있음을 인식할 것이다. 전극들의 비-제한적인 예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지 페데스탈들을 포함한다. SS-ALD 프로세스들에 대한 플라즈마들이 RF 필드의 가스로의 용량성 커플링 이외에 하나 이상의 적절한 방법들에 의해 형성될 수도 있음을 인식할 것이다.
많은 다른 증착 프로세스들, 특히 열적 활성화를 요구하는 프로세스들과는 달리, SS-ALD 프로세스는 비교적 낮은 온도로 수행될 수도 있다. 일반적으로, SS-ALD 온도는 약 20℃와 약 400℃ 사이에 있을 수도 있다. 그러한 온도는, 포토레지스트 코어 상의 증착과 같은 온도 민감형 프로세스의 맥락에서 증착을 허용하도록 선택될 수도 있다. 특정한 실시형태에서, 약 20℃와 약 100℃ 사이의 온도는, (예를 들어, 포토레지스트 코어들을 사용하는) 더블 패터닝 애플리케이션들에 대해 사용된다. 다른 실시형태에서, 약 200℃와 약 350℃ 사이의 온도가 메모리 제조 프로세싱을 위해 이용된다.
다양한 온도들에서, SS-ALD 증착 방법들은 상이한 습식 에칭 레이트 속성들의 막들을 달성하기 위해 사용될 수도 있다. 도 4b는 다양하고 상이한 온도들에서 SS-ALD에 의해 증착된 막들의 열적 산화물 증착에 대한 다양한 습식 에칭 레이트들의 비율 (WERR) 을 도시한 실험적인 데이터를 도시한다. 예를 들어, 50℃에서, 8.0 내지 16.0의 상대적인 습식 에칭 레이트들을 이용한 막들이 도시되고, 100℃의 증착 온도에서, SS-ALD 막들은 2.0으로부터 15.0까지의 훨씬 더 넓은 범위에 있는 습식 에칭 레이트들을 나타낸다. 이것은, 종래의 프로세스들이 낮은 온도들에서 낮은 WERR 막들을 증착시킬 수 없으므로 뛰어나다. 그러나, 여기에 설명된 SS-ALD 방법들을 사용하면, 다양한 WERR을 갖는 막들이 WERR을 튜닝하기 위해 압력 및 플라즈마 전력을 사용하여 광범위한 온도들로 증착될 수 있다. 다양한 실시형태들에 따르면, 적어도 플라즈마 활성화 동안의 반응 챔버 내의 압력은 약 1Torr 와 약 100Torr 사이에 있을 수도 있다. 또한, 이러한 압력은 사이클의 나머지 동안 사용될 수도 있다. 몇몇 경우들에서, (예를 들어, 0의 세트포인트를 사용하는) 약 1Torr 미만으로의 선택적인 펌프 다운은, 수행되면 사후-플라즈마 퍼지 이전, 그 동안, 또는 그 이후 중 어느 하나에서, 플라즈마가 소멸된 이후 이용될 수도 있다. 특정한 이론에 의해 제한되지는 않지만, 증착된 막들이 높은 압력들에서 샤워헤드와 페데스탈 사이의 더 양호한 플라즈마 한정, 및 챔버의 원격 영역들에서의 기생 플라즈마의 감소로 인해 더 양호한 성능을 갖는다고 믿어진다. 이는, 원격 챔버 영역들에서 플레이크 (flake) 되는 입자들의 가능성을 감소시킨다.
SS-ALD에 의해 증착된 막들은 임의의 원하는 두께를 가질 수 있으며, 그 두께는 웨이퍼 상의 다양한 포인트들에서 두께를 측정하고 전체 웨이퍼에 걸쳐 평균 두께를 계산함으로써 측정될 수도 있다. 모든 반응 사이트들이 임의의 하나의 사이클에서 막을 증착하지는 않을 것이기 때문에, SS-ALD 사이클 두께는 원하는 막의 가장 큰 결합 길이보다 작을 수도 있다. 따라서, SS-ALD 막들은 심지어 종래의 ALD 또는 CFD에 의해 증착된 가장 얇은 막들보다 더 얇을 수도 있으며, 약 0.1Å으로 튜닝가능한 두께를 갖는다. 예를 들어, BTBAS를 사용하는 실리콘 산화물 막들의 증착에 있어서, 0.57Å/사이클 미만의 막 증착이 표 1에 도시된 예의 SS-ALD를 사용하여 달성된다. 이러한 두께는 실리콘 산화물의 Si와 O 사이의 평균 결합 길이 (1.62Å) 보다 훨씬 더 작다. 실험적인 데이터는, 약 0.57Å, 0.71Å, 0.76Å, 0.81Å, 0.9Å, 또는 0.97Å의 두께를 갖는 달성된 SS-ALD 막들을 수집했다. 일 예에서, SS-ALD 막의 증착된 두께는 약 1.0Å 미만이다. SS-ALD 방법들을 나타냈던 실험들은, 0.57Å/사이클 층들의 2개의 사이클들을 사용함으로써 두께가 약 0.95Å의 막들을 증착시키는데 사용될 수 있으며, 그에 의해, 하나의 사이클에서 종래의 ALD가 증착한 양을 증착시키기 위해 더 많은 사이클들을 사용한다. 온도 및 플라즈마 전력의 조건들은, 적어도 사이클 당 결합 길이의 간격의 증착 사이클들로 종래의 ALD 방법들을 제한했다. ALD 대 SS-ALD에 의해 증착된 막 두께들의 비교가 표 1에 도시되어 있다.
Figure 112013096098011-pat00001
표 1에 나타낸 바와 같이, 종래의 0.95Å/사이클에서 BTBAS를 사용하여 ALD에 의해 증착된 SiO2 막들은, 0.57Å/사이클에서 BTBAS를 사용하여 SS-ALD에 의해 증착된 SiO2 막들보다 사이클 당 더 두꺼운 막들을 산출한다. 최소 사이클 두께는 사용된 전구체 시스템에 기초하여 변할 수 있다. 예를 들어, 매우 적은 도즈의 SS-ALD를 사용한 실리콘 산화물의 SS-ALD에 대한 사이클 두께는, 특정한 전달 시스템을 사용하여 0.4Å 만큼 작을 수도 있고, 동일한 전달 시스템을 사용하여 다른 ALD 금속 산화물 증착에 대해 0.1Å 만큼 작을 수도 있다. 최소 막 사이클 두께는, 주요 반응물의 도즈를 공급하는데 사용되는 하드웨어에 의해서만 제한될 수도 있다.
연속적인 막 증착은 또한 SS-ALD 방법들에 의해 달성될 수도 있다. SS-ALD의 기대되지 않은 이점은 웨이퍼 비-균일도 내에서 감소된다. 막들이 임의의 하나의 사이클에서 연속적으로 증착되지 않을 수도 있지만, 전체적으로, 연속적인 막 증착은 SS-ALD 사이클들을 반복하는 속성으로 인해 달성된다. 임의의 특정한 이론에 의해 제한되지는 않지만, 각각의 서브-포화된 사이클 동안, 전구체들이 다양한 소산된 (disperse) 반응 사이트들에서 반응하지만, 시간에 걸쳐 각각의 사이클이 나머지 반응 사이트들에서 전구체들이 반응하는 것을 초래하며, 전체적으로, 밀집하고 균일한 박막이 달성될 수도 있다고 믿어진다. 추가적으로, 주요 반응물의 흡착이 임의의 하나의 서브-포화된 사이클에서 소산되어 있지만, 흡착은 기판에 걸쳐 일반적으로 균일하다.
임의의 특정한 이론에 의해 제한되지 않지만, RF 플라즈마가 반응 사이트들을 활성화시키고 막들을 증착시키기 때문에, 사이클들 사이에서 RF 플라즈마를 사용하는 것이 SS-ALD에 의해 증착된 이들 얇은 균일한 막들을 형성하며, 더 고속의 레이트로 막들을 연속적으로 증착시키기 위해 종의 이동성을 증가시킨다고 또한 믿어진다. RF 활성화의 효율도 및 변환은 포화된 층을 이용한 것보다 서브-포화된 층을 이용할 경우 더 크다.
또한, 특정한 이론에 의해 제한되지 않지만, 더 높은 흐름에서 불완전한 스윕 페이즈에 의해 전해진 기생 플라즈마-향상된 화학 기상 증착 (PECVD) 이 감소되기 때문에, 균일하고 연속적인 막들이 달성될 수도 있다. 더 적은 전구체 양이 각각의 사이클에서 사용되므로, 더 적은 잔류 전구체가 제거될 필요가 있으며, 기생 PECVD가 기판 상에서 발생할 기회가 더 작아진다.
SS-ALD는 많은 애플리케이션들을 갖는다. SS-ALD는 진보된 기술 노드들에서 막들을 증착시키기에 매우 적합하다. 따라서, 예를 들어, SS-ALD 프로세싱은 32nm 노드, 22nm 노드, 16nm 노드, 11nm 노드, 및 이들 중 임의의 것을 초과하는 노드에서의 프로세스들에 통합될 수도 있다. 이들 노드들은, 수년 동안 반도체들에 대한 국제 기술 로드맵 (ITRS), 즉 마이크로전자 기술 요건들에 대한 산업계의 컨센서스에 설명되어 있다. 일반적으로, 이들은 메모리 셀의 1/2 피치를 참조한다. 특정한 예에서, SS-ALD 프로세싱은 (20-29nm의 범위의 디바이스 피쳐들을 갖는) "2X" 디바이스들 및 그 초과의 디바이스들에 적용된다.
여기에 제공된 SS-ALD 막들의 대부분의 예들이 실리콘 기반 마이크로전자 디바이스들에 관여하지만, 막들은 또한 다른 영역들에서 애플리케이션을 발견할 수도 있다. GaAs와 같은 비-실리콘 반도체들 및 다른 III-V 반도체들 뿐만 아니라 HgCdTe와 같은 II-VI 재료들을 사용하는 마이크로전자기기 또는 광전자기기는 여기에 설명된 SS-ALD 프로세스들을 사용하는 것으로부터 이득을 얻을 수도 있다. 일렉트로크로믹 (electrochromic) 필드 및 다른 필드들에서의 광전지 디바이스들과 같은 태양 에너지 필드에서의 등각 유전체 막들에 대한 애플리케이션들이 가능하다.
SS-ALD 막들에 대한 다른 예시적인 애플리케이션들은, 백-엔드-오브-라인 (back-end-of-line) 상호접속 격리 애플리케이션들에 대한 등각 로우-k 막들 (예를 들어, 몇몇 비-제한적인 예들에서 k는 대략 3.0 이하임), 에칭 정지 및 스페이서 층 애플리케이션들에 대한 등각 실리콘 니트라이드 막들, 등각 반사방지 층들, 및 구리 접착 및 장벽 층들을 포함하지만, 이에 제한되지 않는다. BEOL 프로세싱에 대한 로우-k 유전체들의 많은 상이한 구성들은 SS-ALD를 사용하여 제조될 수 있다. 예들은 실리콘 산화물들, 산소 도핑된 카바이드들, 카본 도핑된 산화물들, 옥시니트라이드들 등을 포함한다.
일 시나리오에서, SS-ALD 프로세스는 평평하지 않은 기판 상에 등각 실리콘 디옥사이드 막을 증착시킬 수도 있다. 예를 들어, SS-ALD 실리콘 디옥사이드 막은, 얕은 트렌치 격리 (STI) 구조들의 트렌치 충진과 같은 구조들의 갭 충진을 위해 사용될 수도 있다. 후술되는 다양한 실시형태들이 갭 충진 애플리케이션에 관한 것이지만, 이것은 단지 비-제한적이고 예시적인 애플리케이션일 뿐이며, 다른 적절한 막 재료들을 이용하는 다른 적절한 애플리케이션들이 본 발명의 범위 내에 있을 수도 있다는 것을 인식할 것이다. SS-ALD 실리콘 디옥사이드 막들에 대한 다른 애플리케이션들은 층간 (interlayer) 절연체 (ILD) 애플리케이션들, 금속층간 (intermetal) 절연체 (IMD) 애플리케이션들, 금속전 (pre-metal) 유전체 (PMD) 애플리케이션들, 스루-실리콘 비아 (TSV) 애플리케이션에 대한 유전체 라이너들, 저항성 RAM (ReRAM) 애플리케이션들, 및/또는 DRAM 애플리케이션들에서의 적층된 커패시터 제조를 포함하지만 이에 제한되지 않는다.
도핑된 실리콘 산화물은, 붕소, 인, 또는 심지어 비소 도펀트들에 대한 확산 소스로서 사용될 수도 있다. 예를 들어, 붕소 도핑된 실리케이트 글래스 (BSG), 인 도핑된 실리케이트 글래스 (PSG), 또는 심지어 붕소 인 도핑된 실리케이트 글래스 (BPSG) 가 사용될 수 있다. 도핑된 SS-ALD 층들은, 예를 들어, 멀티-게이트 FinFET들 및 3차원 메모리 디바이스들과 같은 3차원 트랜지스터 구조들에서 등각 도핑을 제공하기 위해 이용될 수 있다. 종래의 이온 주입기들은, 특히 고애스팩트 비 구조들에서 측벽들을 용이하게 도핑할 수 없다.
확산 소스들로서의 SS-ALD 도핑된 산화물들은 다양한 이점들을 갖는다. 먼저, 그들은 낮은 온도에서 높은 등각성을 제공한다. 비교하여, 낮은-압력 CVD 제조된 도핑된 TEOS (테트라에틸오르도실리케이트) 가 알려져 있지만 높은 온도에서의 증착을 요구하며, 대기압보다 낮은 (sub-atmospheric) CVD 및 PECVD 도핑된 산화물 막들은 더 낮은 온도에서 가능하지만, 부적절한 등각성을 갖는다. 막이 통상적으로 희생 (sacrificial) 애플리케이션이고 그 후, 제거될 필요성이 있으므로, 도핑의 등각성은 중요하지만, 그것은 막 그 자체의 등각성이다. 비-등각적인 막은 통상적으로 제거 시에 더 많은 문제점들에 직면하며, 즉, 몇몇 영역들이 오버에칭될 수 있다.
부가적으로, SS-ALD는 매우 양호하게 제어된 도핑 농도를 제공한다. 언급된 바와 같이, SS-ALD 프로세스는 도핑되지 않은 산화물의 몇몇 층들, 후속하여 도핑한 단일층을 제공할 수 있다. 도핑의 레벨은, 도핑된 층이 증착되는 주파수 및 도핑 사이클의 조건들에 의해 엄격히 제어될 수 있다. 특정한 실시형태들에서, 도핑 사이클은, 예를 들어, 상당한 입체 장애를 갖는 도펀트 소스를 사용함으로써 제어된다. 종래의 실리콘-기반 마이크로전자에 부가하여, SS-ALD 도핑의 다른 애플리케이션들은 GaAs와 같은 III-V 반도체들 및 HgCdTe와 같은 II-VI 반도체들, 광전지, 평판 디스플레이들, 및 일렉트로크로믹 기술에 기초한 마이크로전자기기 및 광전자기기를 포함한다.
상술된 다양한 SS-ALD 증착 프로세스들이 단일 막 타입들을 증착, 처리, 및/또는 에칭하는 것에 관한 것이지만, 본 발명의 범위 내의 몇몇 SS-ALD 프로세스들이 복수의 막 타입들의 인시츄 증착을 포함할 수도 있음을 인식할 것이다. 예를 들어, 막 타입들의 교번하는 층들이 인시츄로 증착될 수도 있다. 제 1 시나리오에서, 게이트 디바이스에 대한 더블 스페이서는 실리콘 니트라이드/실리콘 산화물 스페이서 스택의 인시츄 증착에 의해 제조될 수도 있다. 이것은 사이클 시간을 감소시키고 프로세스 스테이션 스루풋을 증가시킬 수도 있으며, 잠재적인 막 층 불완전성에 의해 형성된 층간 결함들을 회피할 수도 있다. 제 2 시나리오에서, 리소그래픽 패터닝 애플리케이션들에 대한 반사방지층은, 튜닝가능한 광학 속성들을 갖는 SiON 또는 비정질 실리콘 및 SiOC의 스택으로서 증착될 수도 있다.
실험
실리콘 산화물 막들은 CFD 프로세스 A에 있어서 아래의 표 2에 도시된 바와 같이 종래의 CFD 프로세스들에 의해 증착되었다.
Figure 112013096098011-pat00002
산소가 연속적으로 챔버로 흘렀다. 전구체 BTBAS는 0.3초의 도즈에 대해 2mL/분의 유동률로 간헐적으로 흘렀고, 0.3초의 퍼지, 0.25초의 RF 플라즈마 전력 페이즈, 및 0.09초의 사후-RF 퍼지가 후속했다. 2000Å의 웨이퍼에 대해 사용된 BTBAS의 양은 13.5g 이었다.
비교를 위해, 4개의 시험 프로세스들이 SS-ALD를 사용하여 실리콘 산화물 막들을 증착하도록 행해졌다. 산소는 모든 4개의 프로세스들에 대해 챔버로 연속적으로 흘렀다. CFD 프로세스 A와 유사하게, SS-ALD 프로세스 A, B, C, 및 D에서, BTBAS는 0.3초의 도즈에 대해 간헐적으로 흘렀고, 0.3초의 퍼지, 그 후, 0.25초의 RF 플라즈마 전력 페이즈, 및 0.09초의 사후-RF 퍼지가 후속했다.
SS-ALD 프로세스 A에서, BTBAS의 유동률은 1.5mL/분이었으며, 200Å 웨이퍼에 대해 사용된 BTBAS의 총 양은, CFD 프로세스 A에서 사용된 양보다 적은 10.125g이었다. 스루풋은 시간 당 6.5개의 웨이퍼들 (wph) 로 측정되었다. SS-ALD 프로세스 B에서, BTBAS의 유동률은 1.0mL/분까지 낮춰졌으며, BTBAS의 총 6.7g이 사용되었다. 스루풋은 6.1wph로 낮춰졌다. SS-ALD 프로세스 C에서, BTBAS 유동률은 다시 0.5mL/분으로 낮춰졌으며, 이는 BTBAS의 총 3.38g만을 사용했다. 스루풋은 5.4wph로 감소했다.
SS-ALD 프로세스 D에서, 유동률은 0.5mL/분의 SS-ALD 프로세스 C에서와 동일했지만, 도즈 시간은 0.2초로 감소했고, 퍼지 시간은 0.2초로 감소했으며, RF 플라즈마 전력 시간은 단지 0.15초로 감소했다. 결과는 2.83g의 케미컬 (chemical) 사용에서의 실질적인 감소이며, 7.0wph의 스루풋에서 상당히 현저한 증가였다.
표 2의 데이터에 의해 나타낸 바와 같이, SS-ALD 방법들에 의한 증착은, 사이클 당 더 적게 사용되므로 전체적으로 전구체를 더 적게 사용할 수도 있다. 그러한 증착은 또한, 개선된 스루풋을 초래할 수도 있다. 스윕 시간이 SS-ALD 프로세스 D에서 감소된 경우, 웨이퍼 스루풋이 실질적으로 증가했다.
실험들의 다른 시리즈들에서, 스루풋은, 막들이 정시에 단축된 사후-도즈 퍼지 또는 RF 전력을 이용하여 SS-ALD에 의해 증착되었던 다양한 프로세스들에 대해 측정되었다. 이들 실험들의 결과들이 아래의 표 3에 도시되어 있다.
Figure 112013096098011-pat00003
1mL/분의 BTBAS 유동률을 수반하는 방법들에 대해, 막은, 0.3초의 사후-도즈 퍼지 시간 및 0.25초 동안의 RF 플라즈마를 이용하여 종래의 CFD에 의해 증착되었다. 증착 레이트는 0.86Å/사이클이었으며, 전체 스루풋은 6.0wph를 초과했다. 비균일도는 540사이클/스테이션에 대해 1.45% 이었다.
막은 동일한 유동률로 하지만 0.2초의 사후-도즈 퍼지 시간으로 SS-ALD를 사용하여 증착되었다. RF 플라즈마 시간은 CFD 프로세스 1과 동일했으며, 스루풋은 실질적으로 변하지 않았다. 비균일도는 실질적인 변화없이 1.47% 이었다. 막은, 동일한 유동률로 하지만 0.2초로 감소된 사후-도즈 퍼지 시간 및 0.15초로 감소된 RF 플라즈마 시간 양자로 SS-ALD를 사용하여 증착되었다. 증착률은 0.9Å/사이클이었고, 스루풋은 여전히 6.0wph를 초과했다. 한편, 비균일도는 1.36% 로 감소했다.
실험들의 또 다른 시리즈들에서, BTBAS는 0.5mL/분으로 흘렀다. 막은, 0.3초의 사후-도즈 퍼지 시간, 0.25초의 시간 동안의 RF 플라즈마, 및 0.76Å/사이클의 증착 레이트에서 종래의 CFD에 의해 증착되었다. 스루풋은 5.4wph 였고, 비균일도는 1.03% 이었다.
막은 0.5mL/분의 그 동일한 유동률을 사용하는 SS-ALD를 사용하여 증착되었고, 사후-도즈 퍼지 시간은 0.2초로 감소되었고, RF 플라즈마는 시간 상 0.25초로 유지되었으며, 증착 레이트는 0.76Å/사이클이었다. 스루풋은 6.0wph 였고, 비 균일도는 1.12% 이었다. 마지막으로, 막은, 0.2초의 감소된 사후-도즈 퍼지 시간, 0.15초 동안의 감소된 RF 플라즈마, 및 0.79Å/사이클의 증착 레이트로 SS-ALD를 사용하여 증착되었다. 스루풋은 0.85%의 실질적으로 현저한 비균일도를 가지면서 7.0wph로 증가했다.
이들 결과들은, SS-ALD 프로세스들이 케미컬 사용도를 감소시키고, 우수한 웨이퍼-내 균일도를 제공하며, 가능하게는 감소된 기생 PECVD 및/또는 흡착된 분자 당 더 큰 RF 활성도로 인해 결함 카운트들을 감소시킨다는 것을 암시한다. 케미컬 흐름 감소는 또한, 가능하게는 감소된 퍼지 시간으로 인해 스루풋을 개선시켰다.
실험들은, 다양한 온도들에서 열적 산화물 대 습식 에칭 레이트 비율들을 측정하도록 행해졌다. 결과들은 표 4에 도시되어 있다.
Figure 112013096098011-pat00004
변환 효율도는 도즈 내의 전구체 플럭스 단위 당 스테이션 당 적용된 RF 에너지이다. 종래의 CFD는 표 4의 첫번째 제 2 데이터 열들에 의해 나타낸 바와 같이, 10마이크로-리터의 도즈 당 전구체 플럭스에 대해 400℃에서 사용되었다. 사용된 전구체에 대한 대략적인 포화 도즈는, 도즈 당 전구체 플럭스를 나타내는 4마이크로리터이었다. 상기 도시된 바와 같이, 열적 산화물 대 습식 에칭 레이트 비율은 서브-포화된 방법들을 사용함으로써 높은 에너지 RF 전력에 대해 9% 내지 14% 만큼 개선되었다. 400℃ 및 200℃ 양자에서의 종래의 및 서브-포화된 ALD 방법들 양자에 대한 이들 결과들은 도 4c 및 도 4d에 도시되어 있다. 습식 레이트가 변환 효율도가 증가함에 따라 감소하므로, 감소된 전구체 플럭스 및 RF 활성화에서의 변화가 SS-ALD에 의해 증착된 연속적인 박막들의 개선된 성능에 영향을 준다는 것을 유의한다. 변환 효율도는 적어도 45J/mL, 또는 적어도 100J/mL, 또는 적어도 200J/mL 일 수도 있다. 몇몇 실시형태들에서, 변환 효율도는 적어도 225J/mL 일 수도 있다.
실험들은, SS-ALD에 의해 증착된 박막들에서 압력 및 RF 에너지를 조절하는 효과를 결정하기 위해 수행되었다. 결과들은 도 4e에 도시되어 있다. RF 에너지는, RF 전력이 온 (on) 이었던 시간과 RF 전력을 곱함으로써 계산되었다. 50℃에서의 낮은 압력에 의한 증착은 조절된 RF 에너지를 이용하여 광범위한 범위의 열적 산화물 대 습식 에칭 레이트 비율을 달성했다. 습식 에칭 레이트 범위는 또한 50℃ 및 높은 압력의 SS-ALD에 대해 넓었으며, 8.0 내지 16.0의 범위에 있다. 따라서, RF 전력은 원하는 습식 에칭 레이트로 튜닝될 수도 있다. 더 높은 총 RF 에너지는 더 낮은 습식 에칭 레이트를 초래했으며, 기판의 표면 상의 RF 활성화가 SS-ALD에 의해 조밀하고 연속적인 박막들을 형성하는 것에 기여한다는 것을 암시한다.
단일 가변 테스트 (SVT) 실험들은, 800W의 RF 전력에서의 퍼지 시간 및 RF 플라즈마 노출 시간에 기초하여 증착 레이트 및 비-균일도 퍼센트를 결정하기 위해 수행되었다. 결과들은 도 4f에 도시되어 있다. 도시된 바와 같이, 증착 레이트는 0.5초 동안 온된 RF 전력에서 안정화되며, 0.5초의 RF 전력을 사용함으로써, 모든 서브-포화된 증착이 완전히 변환된다는 것을 암시한다. 0.3초의 비-균일도는 0.28%로 낮으며, 이는 평활하고 균일한 막이 증착되었다는 것을 나타낸다. 웨이퍼-내 비-균일도는 다수의 상이한 방법들에 의해 계산될 수도 있으며, 하프-범위 균일도가 (max-min)/(2*평균) 로서 계산된다.
RF 퍼지 시간에 대한 결과들은 도 4g에 도시되어 있다. 도면은, 0.15초의 퍼지 이후에 증착 레이트가 안정되었다는 것을 표시하며, 0.15초의 퍼지가 임의의 잔류 전구체를 치우는데에 충분하고, 그에 의해, 퍼지 시간을 감소시키고 스루풋을 증가시킨다는 것을 암시한다. 비균일도는 0.15초의 퍼지 이후에 안정되었으며, 최적의 최소화된 퍼지 시간과 일치한다.
장치
임의의 적절한 프로세스 스테이션이 상술된 실시형태들 중 하나 이상에 관해 이용될 수도 있음을 인식할 것이다. 예를 들어, 도 5는 SS-ALD 프로세스 스테이션 (500) 의 일 실시형태를 개략적으로 도시한다. 간략화를 위해, SS-ALD 프로세스 스테이션 (500) 은, 낮은 압력 환경을 유지하기 위한 프로세스 챔버 몸체 (502) 를 갖는 자립형 (standalone) 프로세스 스테이션으로서 도시되어 있다. 그러나, 복수의 SS-ALD 프로세스 스테이션들 (500) 이 일반적인 프로세스 툴 환경에 포함될 수도 있음을 인식할 것이다. 예를 들어, 도 6은 멀티-스테이션 프로세싱 툴 (600) 의 일 실시형태를 도시한다. 추가적으로, 몇몇 실시형태들에서, 상세히 후술되는 것들을 포함하는 SS-ALD 프로세스 스테이션 (500) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들에 의해 프로그래밍적으로 조정될 수도 있음을 인식할 것이다.
SS-ALD 프로세스 스테이션 (500) 은 프로세스 가스들을 분배 샤워헤드 (506) 에 전달하기 위해 반응물 전달 시스템 (501) 과 유동적으로 (fluidly) 통신한다. 반응물 전달 시스템 (501) 은, 샤워헤드 (506) 로의 전달을 위해 프로세스 가스들을 섞고 및/또는 컨디셔닝하기 위한 혼합 베슬 (vessel) (504) 을 포함한다. 하나 이상의 혼합 베슬 입구 밸브들 (520) 은 혼합 베슬 (504) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
BTBAS와 같은 몇몇 반응물들은, 프로세스 스테이션에서의 기화 및 프로세스 스테이션으로의 후속 전달 이전에 액체 형태로 저장될 수도 있다. 예를 들어, 도 5의 실시형태는, 혼합 베슬 (504) 에 공급될 액체 반응물을 기화시키기 위한 기화점 (503) 을 포함한다. 몇몇 실시형태들에서, 기화점 (503) 은 가열된 기화기일 수도 있다. 그러한 기화기들에 의해 생성된 반응물 증기는 다운스트림 전달 파이핑 (piping) 에서 응축될 수도 있다. 화합되지 않는 가스들의 응축된 반응물로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이핑을 막고, 밸브 동작을 방해하고, 기판들을 오염시키며, 기타 등등을 행할 수도 있다. 이들 문제들을 해결하기 위한 몇몇 접근법들은 잔류 반응물을 제거하기 위해 전달 파이핑을 스윕 및/또는 비우는 것을 수반한다. 그러나, 전달 파이핑을 스윕하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있으며, 이는 프로세스 스테이션 스루풋을 열화시킨다. 따라서, 몇몇 실시형태들에서, 기화점 (503) 의 다운스트림에 있는 전달 파이핑은 열 추적될 수도 있다. 몇몇 예들에서, 혼합 베슬 (504) 이 또한 열 추적될 수도 있다. 하나의 비-제한적인 예에서, 기화점 (503) 의 다운스트림에 있는 파이핑은, 혼합 베슬 (504) 에서 약 섭씨 100도로부터 약 섭씨 150도까지 연장하는 증가한 온도 프로파일을 갖는다.
몇몇 실시형태들에서, 반응 액체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 혼합 베슬의 업스트림에 있는 캐리어 가스 스트림으로 액체 반응물의 펄스들을 주입할 수도 있다. 하나의 시나리오에서, 액체 주입기는 더 높은 압력으로부터 더 낮은 압력으로 액체를 플러쉬 (flash) 함으로써 반응물을 기화시킬 수도 있다. 다른 시나리오에서, 액체 주입기는, 가열된 전달 파이프에서 후속하여 기화되는 소산된 미세액적들로 액체를 원자화시킬 수도 있다. 더 작은 액적들이 더 큰 액적들보다 더 신속하게 기화될 수도 있으며, 이는 액체 주입과 완전한 기화 사이의 지연을 감소시킴을 인식할 것이다. 더 신속한 기화는 기화점 (503) 으로부터 다운스트림에 있는 파이핑의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 베슬 (504) 에 직접 탑재될 수도 있다. 다른 시나리오에서, 액체 주입기는 샤워헤드 (506) 에 직접 탑재될 수도 있다.
몇몇 실시형태들에서, 기화점 (503) 의 업스트림에 있는 액체 흐름 제어기는, 기화 및 프로세스 스테이션 (500) 으로의 전달을 위하여 큰 흐름의 액체를 제어하기 위해 제공될 수도 있다. 예를 들어, 액체 흐름 제어기 (LFC) 는 LFC의 다운스트림에 위치된 열적 대용량 흐름 미터 (MFM) 를 포함할 수도 있다. 그 후, LFC의 플런저 밸브는 MFM과 전기 통신하는 비례-적분-미분 (PID) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 그것은 피드백 제어를 사용하여 액체 흐름을 안정화시키기 위해 일초 또는 그 이상을 취할 수도 있다. 이것은 액체 반응물을 도즈하기 위한 시간을 연장시킬 수도 있다. 따라서, 몇몇 실시형태들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 몇몇 실시형태들에서, LFC는 LFC 및 PID 제어기의 감지 튜브를 디스에이블시킴으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다.
샤워헤드 (506) 는 기판 (512) 을 향해 프로세스 가스들을 분배한다. 도 5에 도시된 실시형태에서, 기판 (512) 은 샤워헤드 (506) 아래에 위치되며, 페데스탈 (508) 상에 상주하는 것으로 도시되어 있다. 샤워헤드 (506) 가 임의의 적절한 형상을 가질 수도 있으며, 프로세스 가스들을 기판 (512) 에 분배하기 위한 임의의 적절한 수 및 배열의 포트들을 가질 수도 있음을 인식할 것이다.
몇몇 실시형태들에서, 마이크로볼륨 (507) 은 샤워헤드 (506) 아래에 위치된다. 프로세스 스테이션의 전체 볼륨에서보다는 마이크로볼륨에서 SS-ALD 프로세스를 수행하는 것은, 반응물 노출 및 스윕 시간들을 감소시킬 수도 있고, SS-ALD 프로세스 조건들 (예를 들어, 압력, 온도 등) 을 수정하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들로의 프로세스 스테이션 로봇들의 노출을 제한할 수도 있으며, 기타 등등의 이점들이 있다. 예시적인 마이크로볼륨 사이즈들은 0.1 리터와 2 리터 사이의 볼륨들을 포함하지만 이에 제한되지 않는다. 또한, 이것은 제조 스루풋에 영향을 준다. 사이클 당 증착 레이트가 SS-ALD에서 떨어지는 동안, 사이클 시간이 또한 동시에 감소한다. 특정한 경우들에서, 후자의 효과는, 주어진 타겟 두께의 막에 대한 모듈의 전체 스루풋을 개선시키기에 충분히 극적이다.
몇몇 실시형태들에서, 페더스탈 (508) 은 마이크로볼륨 (507) 에 기판 (512) 을 노출시키도록 및/또는 마이크로볼륨 (507) 의 볼륨을 변화시키도록 상승하거나 하강할 수도 있다. 예를 들어, 기판 전달 페이즈에서, 페데스탈 (508) 은 기판 (512) 이 페데스탈 (508) 상으로 로딩되게 하도록 하강될 수도 있다. SS-ALD 프로세스 페이즈 동안, 페데스탈 (508) 은 마이크로볼륨 (507) 내에 기판 (512) 을 위치시키도록 상승될 수도 있다. 몇몇 실시형태들에서, 마이크로볼륨 (507) 은, SS-ALD 프로세스 동안 높은 흐름 임피던스의 영역을 생성하기 위해 기판 (512) 뿐만 아니라 페데스탈 (508) 의 일부를 완전히 둘러쌀 수도 있다.
선택적으로, 페데스탈 (508) 은, 마이크로볼륨 (507) 내의 프로세스 압력, 반응물 농도 등을 조절하기 위해 SS-ALD의 일부들 동안 하강 및/또는 상승될 수도 있다. 프로세스 챔버 몸체 (502) 가 SS-ALD 프로세스 동안 기본 압력으로 유지되는 일 시나리오에서, 하강한 페데스탈 (508) 은 마이크로볼륨 (507) 이 비워지게 할 수도 있다. 프로세스 챔버 볼륨 대 마이크로볼륨의 예시적인 비율들은 1:500 과 1:10 사이의 볼륨 비율들을 포함하지만 이에 제한되지 않는다. 몇몇 실시형태들에서, 페데스탈 높이가 적절한 컴퓨터 제어기에 의해 프로그래밍적으로 조정될 수도 있음을 인식할 것이다.
다른 시나리오에서, 페데스탈 (508) 의 높이를 조정하는 것은, 플라즈마 밀도가 SS-ALD 프로세스에 포함된 처리 사이클들 및/또는 플라즈마 활성화 동안 변경되게 할 수도 있다. SS-ALD 프로세스 페이즈의 종결 시에, 페데스탈 (508) 은 페데스탈 (508) 로부터의 기판 (512) 의 제거를 허용하도록 다른 기판 전달 페이즈 동안 하강될 수도 있다.
여기에 설명된 예시적인 마이크로볼륨 변화들이 높이-조정가능한 페데스탈을 지칭하지만, 몇몇 실시형태들에서, 샤워헤드 (506) 의 위치가 마이크로볼륨 (507) 의 볼륨을 변화시키도록 페데스탈 (508) 에 관해 조정될 수도 있음을 인식할 것이다. 추가적으로, 페데스탈 (508) 및/또는 샤워헤드 (506) 의 수직 위치가 본 발명의 범위 내의 임의의 적절한 메커니즘에 의해 변경될 수도 있음을 인식할 것이다. 몇몇 실시형태들에서, 페데스탈 (508) 은 기판 (512) 의 배향을 회전시키기 위한 회전축을 포함할 수도 있다. 몇몇 실시형태들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적절한 컴퓨터 제어기들에 의해 프로그래밍적으로 수행될 수도 있음을 인식할 것이다.
도 5에 도시된 실시형태를 참조하면, 샤워헤드 (506) 및 페데스탈 (508) 은 플라즈마에 전력공급하기 위해 RF 전력 공급부 (514) 및 매칭 네트워크 (516) 와 전기적으로 통신한다. 몇몇 실시형태들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (514) 및 매칭 네트워크 (516) 는 라디컬 종의 원하는 조성을 갖는 플라즈마를 형성하기 위해 임의의 적절한 전력으로 동작될 수도 있다. 적절한 전력들의 예들은 상기에 포함되어 있다. 유사하게, RF 전력 공급부 (514) 는 임의의 적절한 주파수의 RF 전력을 제공할 수도 있다. 몇몇 실시형태들에서, RF 전력 공급부 (514) 는 서로 독립적으로 고주파수 및 저주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저주파수 RF 주파수들은 50kHz 와 500kHz 사이의 주파수들을 포함할 수도 있지만 이에 제한되지 않는다. 예시적인 고주파수 RF 주파수들은 1.8MHz 와 2.45GHz 사이의 주파수들을 포함할 수도 있지만, 이에 제한되지 않는다. 임의의 적절한 파라미터들이 표면 반응들을 위한 플라즈마 에너지를 제공하기 위해 별개로 또는 연속적으로 조절될 수도 있음을 인식할 것이다. 일 비-제한적인 예에서, 플라즈마 전력은, 연속적으로 전력공급된 플라즈마들에 비해 기판 표면과의 이온 충격을 감소시키도록 간헐적으로 펄싱될 수도 있다.
몇몇 실시형태들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인시츄로 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광방출 분광기 센서들 (OES) 에 의해 측정될 수도 있다. 몇몇 실시형태들에서, 하나 이상의 플라즈마 파라미터들은 그러한 인시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그래밍적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그래밍적 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 몇몇 실시형태들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특징들을 모니터링하기 위해 사용될 수도 있다. 그러한 모니터들은 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있지만 이에 제한되지 않는다.
몇몇 실시형태들에서, 플라즈마는 입력/출력 제어 (IOC) 시퀀싱 명령들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 프로세스 페이즈 동안 플라즈마 조건들을 셋팅하기 위한 명령들은 SS-ALD 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈에 포함될 수도 있다. 몇몇 경우들에서, 프로세스 레시피 페이즈들은 순차적으로 배열될 수도 있어서, SS-ALD 프로세스 페이즈에 대한 모든 명령들이 그 프로세스 페이즈와 동시에 실행되게 한다. 몇몇 실시형태들에서, 하나 이상의 플라즈마 파라미터들을 셋팅하기 위한 명령들은 플라즈마 프로세스 페이즈를 진행하는 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는, 불활성 및/또는 반응물 가스의 유동률을 셋팅하기 위한 명령들, 플라즈마 생성기를 전력 세트 포인트로 셋팅하기 위한 명령들, 및 제 1 레시피 페이즈에 대한 시간 지연 명령들을 포함할 수도 있다. 후속하는 제 2 레시피 페이즈는, 플라즈마 생성기를 인에이블시키기 위한 명령들 및 제 2 레시피 페이즈에 대한 시간 지연 명령들을 포함할 수도 있다. 제 3 레시피 페이즈는, 플라즈마 생성기를 디스에이블시키기 위한 명령들 및 제 3 레시피 페이즈에 대한 시간 지연 명령들을 포함할 수도 있다. 이들 레시피 페이즈들이 본 발명의 범위 내에서 임의의 적절한 방식으로 추가적으로 세분되고 및/또는 반복될 수도 있음을 인식할 것이다.
종래의 증착 프로세스들에서, 플라즈마 타격 (strike) 들은 지속기간이 약 몇초 이상 동안 유지된다. 여기에 설명된 다양한 구현들에서, 훨씬 더 짧은 플라즈마 타격들이 SS-ALD 사이클 동안 적용된다. 이들은 약 10ms 내지 1초, 통상적으로 약 20 내지 80ms 에 있을 수도 있으며, 50ms가 특정한 예이다. 그러한 매우 짧은 RF 플라즈마 타격들은 플라즈마의 매우 신속한 안정화를 요구한다. 이를 달성하기 위해, 플라즈마 생성기는, 주파수가 플로팅 (float) 하도록 허용하면서 임피던스 매치가 특정한 전압으로 셋팅되도록 구성될 수도 있다. 종래에, 고주파수 플라즈마들은 약 13.56MHz의 RF 주파수로 생성된다. 여기에 기재된 다양한 실시형태들에서, 주파수는 이러한 표준값과는 상이한 값으로 플로팅하도록 허용된다. 임피던스 매치를 미리 결정된 전압으로 고정시키면서 주파수를 플로팅하게 함으로써, 플라즈마는 훨씬 더 신속하게 안정화될 수 있으며, 결과는, SS-ALD 사이클들과 연관된 매우 짧은 플라즈마 타격들을 사용할 경우 중요할 수도 있다.
몇몇 실시형태들에서, 페데스탈 (508) 은 가열기 (510) 를 통해 온도 제어될 수도 있다. 추가적으로, 몇몇 실시형태들에서, SS-ALD 프로세스 스테이션 (500) 에 대한 압력 제어는 버터플라이 밸브 (518) 에 의해 제공될 수도 있다. 도 5의 실시형태에 도시된 바와 같이, 버터플라이 밸브 (518) 는 다우스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 스로틀 (throttle) 한다. 그러나, 몇몇 실시형태들에서, 프로세스 스테이션 (500) 의 압력 제어는 또한, SS-ALD 프로세스 스테이션 (500) 에 도입된 하나 이상의 가스들의 유동률을 변경시킴으로써 조정될 수도 있다.
상술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 6은, 인바운드 (inbound) 로드록 (602) 및 아웃바운드 (outbound) 로드록 (604) 을 갖는 멀티-스테이션 프로세싱 툴 (600) 의 일 실시형태의 개략도를 도시하며, 로드록들 양자 또는 어느 하나는 원격 플라즈마 소스를 포함할 수도 있다. 대기압에서, 로봇 (606) 은 포드 (pod) (608) 를 통해 로딩된 카세트로부터 인바운드 로드록 (602) 으로 웨이퍼들을 대기 포트 (610) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (602) 내의 페데스탈 (612) 상에 로봇 (606) 에 의해 배치되고, 대기 포트 (610) 가 폐쇄되며, 로드록은 펌핑 다운 (pump down) 된다. 인바운드 로드록 (602) 이 원격 플라즈마 소스를 포함하는 경우, 웨이퍼는 프로세싱 챔버 (614) 로 도입되기 전에 로드록에서 원격 플라즈마 처리에 노출될 수도 있다. 추가적으로, 웨이퍼는 또한, 예를 들어, 습기 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (602) 에서 또한 가열될 수도 있다. 다음으로, 프로세싱 챔버 (614) 로의 챔버 이송 포트 (606) 가 개방되고, 다른 로봇 (미도시) 은 프로세싱을 위해 반응기에서 나타낸 제 1 스테이션의 페데스탈 상에서 반응기로 웨이퍼를 배치시킨다. 도 6에 도시된 실시형태가 로드록들을 포함하지만, 몇몇 실시형태들에서, 프로세스 스테이션으로의 웨이퍼의 직접 입장이 제공될 수도 있음을 인식할 것이다.
도시된 프로세싱 챔버 (614) 는 도 6에 도시된 실시형태에서 1로부터 4로 넘버링된 4개의 프로세스 스테이션들을 포함한다. 각각의 스테이션은 가열된 페데스탈 (스테이션 1에 대해 (618) 로 도시됨), 및 가스 라인 입구들을 갖는다. 몇몇 실시형태들에서, 각각의 프로세스 스테이션이 상이한 또는 다수의 목적들을 가질 수도 있다는 것을 인식할 것이다. 예를 들어, 몇몇 실시형태들에서, 프로세스 스테이션은 CFD 와 PECVD 프로세스 모드 사이에서 스위칭가능할 수도 있다. 부가적으로 또는 대안적으로, 몇몇 실시형태들에서, 프로세싱 챔버 (614) 는 CFD 및 PECVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (614) 가 4개의 스테이션들을 포함하지만, 본 발명에 따른 프로세싱 챔버가 임의의 적절한 수의 스테이션들을 가질 수도 있음을 이해할 것이다. 예를 들어, 몇몇 실시형태들에서, 프로세싱 챔버는 5개 이상의 스테이션들을 가질 수도 있지만, 다른 실시형태들에서, 프로세싱 챔버는 3개 이하의 스테이션들을 가질 수도 있다.
도 6은 프로세싱 챔버 (614) 내에 웨이퍼들을 전달하기 위한 웨이퍼 핸들링 시스템 (690) 의 일 실시형태를 도시한다. 몇몇 실시형태들에서, 웨이퍼 핸들링 시스템 (690) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드록 사이에 웨이퍼들을 전달할 수도 있다. 임의의 적절한 웨이퍼 핸들링 시스템이 이용될 수도 있음을 인식할 것이다. 비-제한적인 예들은 웨이퍼 캐러셀 (carousel) 들 및 웨이퍼 핸들링 로봇들을 포함한다. 도 6은 또한, 프로세스 툴 (600) 의 하드웨어 상태들 및 프로세스 조건들을 제어하기 위해 이용되는 시스템 제어기 (650) 의 일 실시형태를 도시한다. 시스템 제어기 (650) 는 하나 이상의 메모리 디바이스들 (656), 하나 이상의 대용량 저장 디바이스들 (654), 및 하나 이상의 프로세서들 (652) 을 포함할 수도 있다. 프로세서 (652) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속들, 스탭퍼 모터 제어기 보드들 등을 포함할 수도 있다.
몇몇 실시형태들에서, 시스템 제어기 (650) 는 프로세스 툴 (600) 의 활성도들 모두를 제어한다. 시스템 제어기 (650) 는 대용량 저장 디바이스 (654) 에 저장되고, 메모리 디바이스 (656) 로 로딩되며, 프로세서 (652) 상에서 실행되는 시스템 제어 소프트웨어 (658) 를 실행한다. 시스템 제어 소프트웨어 (658) 는 타이밍, 가스들의 혼합, 서브-포화된 가스 흐름의 양, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 세섭터 (susceptor) 위치, 및 프로세스 툴 (600) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 명령들을 포함할 수도 있다. 시스템 제어 소프트웨어 (658) 는 임의의 적절한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 오브젝트들은, 다양한 프로세스 툴 프로세스들을 수행하기에 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 기입될 수도 있다. 시스템 제어 소프트웨어 (658) 는 임의의 적절한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
몇몇 실시형태들에서, 시스템 제어 소프트웨어 (658) 는 상술된 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀싱 명령들을 포함할 수도 있다. 예를 들어, SS-ALD 프로세스의 각각의 페이즈는 시스템 제어기 (650) 에 의한 실행을 위한 하나 이상의 명령들을 포함할 수도 있다. SS-ALD 프로세스 페이즈에 대한 프로세스 조건들을 셋팅하기 위한 명령들은 대응하는 SS-ALD 레시피 페이즈에 포함될 수도 있다. 몇몇 실시형태들에서, SS-ALD 레시피 페이즈들은 순차적으로 배열될 수도 있어서, SS-ALD 프로세스 페이즈에 대한 모든 명령들이 그 프로세스 페이즈와 동시에 실행되게 한다.
시스템 제어기 (650) 와 연관된 대용량 저장 디바이스 (654) 및/또는 메모리 디바이스 (656) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 몇몇 실시형태들에서 이용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은, 기판 위치결정 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 위치결정 프로그램은, 기판을 페데스탈 (618) 상으로 로딩하기 위해 및 기판과 프로세스 툴 (600) 의 다른 부분들 사이의 간격을 제어하기 위해 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은, 프로세스 스테이션에서 압력을 안정화시키기 위해 증착 전에, 가스 조성 및 유동률들을 제어하고, 선택적으로는 하나이상의 프로세스 스테이션들로 가스들을 흐르게 하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은, 예를 들어, 프로세스 스테이션의 배출 시스템 내의 스로틀 밸브, 프로세스 스테이션으로의 가스 흐름 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
가열기 제어 프로그램은 기판을 가열시키기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 (예를 들어, 헬륨) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은, 여기에서의 실시형태들에 따른 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 적용된 RF 전력 레벨들을 셋팅하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 여기에서의 실시형태들에 따른 반응 챔버 내의 압력을 유지하기 위한 코드를 포함할 수도 있다.
몇몇 실시형태들에서, 시스템 제어기 (650) 와 연관된 사용자 인터페이스가 존재할 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
몇몇 실시형태들에서, 시스템 제어기 (650) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비-제한적인 예들은 프로세스 가스 조성 및 유동률들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 압력, 온도 등을 포함한다. 이들 파라미터들은, 사용자 인터페이스를 이용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은, 다양한 프로세스 툴 센서들로부터의 시스템 제어기 (650) 의 아날로그 및/또는 디지털 입력 접속들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (600) 의 아날로그 및 디지털 출력 접속들 상에서 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비-제한적인 예들은 대용량 흐름 제어기들, (마노미터들과 같은) 압력 센서들, 열전쌍들 등을 포함한다. 적절히 프로그래밍된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지시키기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
시스템 제어기 (650) 는 상술된 증착 프로세스들을 구현하기 위한 프로그램 명령들을 제공할 수도 있다. 프로그램 명령들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 명령들은, 여기에 설명된 다양한 실시형태들에 따른 막 스택들의 인시츄 증착을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기는 통상적으로, 장치가 본 발명에 따른 방법을 수행하기 위해, 명령들을 실행하도록 구성된 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함할 것이다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 포함하는 머신-판독가능 매체들은 시스템 제어기에 커플링될 수도 있다.
여기에 기재된 방법들을 수행하기 위한 적절한 장치는, 발명의 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" 이고 2011년 4월 11일자로 출원된 미국 특허출원 제 13/084,399호; 및 발명의 명칭이 "SILICON NITRIDE FILMS AND METHODS" 이고 2011년 4월 11일자로 출원된 13/084,305호에 추가적으로 기재 및 설명되며, 이들 각각은 그 전체가 여기에 포함된다.
상술된 장치/프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들 등의 제조 또는 제작을 위해 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 필수적이지는 않지만, 그러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래피 패터닝은 통상적으로 다음의 동작들, 즉, (1) 스핀-온 (spin-on) 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상의 포토레지스트의 적용; (2) 뜨거운 판 또는 용광로 또는 UV 경화 툴을 사용하는 포토레지스트의 경화; (3) 웨이퍼 스텝퍼와 같은 툴을 이용하여 가시 또는 UV 또는 x-레이 광에 포토레지스트를 노출시키는 것; (4) 젖은 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하고 그에 의해 그 레지스트를 패터닝하기 위해 레지시트를 현상시키는 것; (5) 건식 또는 플라즈마-보조 에칭 툴을 사용함으로써 하부 막 또는 워크피스로 레지스트 패턴을 전사시키는 것; 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트리퍼와 같은 툴을 사용하여 레지스트를 제거하는 것 중 일부 또는 전부를 포함하며, 각각의 동작은 다수의 가능한 툴들을 이용하여 인에이블된다.
결론
전술한 실시형태들이 이해의 명확화의 목적들을 위해 몇몇 세부사항으로 설명되었지만, 특정한 변화들 및 변경들이 첨부된 청구항들의 범위 내에서 실시될 수도 있음은 명백할 것이다. 본 발명의 실시형태들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 존재함을 유의해야 한다. 따라서, 본 발명의 실시형태들은 제한이 아닌 예시적인 것으로서 고려될 것이며, 실시형태들은 여기에 주어진 세부사항들로 제한되지 않을 것이다.

Claims (22)

  1. 기판 표면 상에 막을 증착시키기 위한 방법으로서,
    반응 챔버에 토포그래픽 (topographical) 피쳐들을 포함하는 기판을 제공하는 단계;
    제 1 반응물이 상기 기판 표면 상으로 흡착되게 하는 조건들 하에서 상기 반응 챔버에 증기 페이즈 (vapor phase) 로 상기 제 1 반응물을 도입하는 단계;
    도즈 시간 (dose time) 동안 상기 반응 챔버에 증기 페이즈로 제 2 반응물의 도즈를 도입하는 단계로서, 상기 제 2 반응물의 도즈는 서브-포화된 (sub-saturated) 도즈인, 상기 제 2 반응물의 도즈를 도입하는 단계; 및
    막이 상기 토포그래픽 피쳐들과 일치하도록 (conform) 상기 막을 형성하기 위해 상기 제 2 반응물의 도즈가 상기 기판 표면 상의 상기 제 1 반응물과 상기 제 2 반응물 사이에서 표면 반응을 일으키게 하기 위해 중지된 경우, 상기 기판 표면을 플라즈마에 주기적으로 노출시키는 단계를 포함하는, 기판 표면 상에 막을 증착시키기 위한 방법.
  2. 제 1 항에 있어서,
    상기 반응물들 중 적어도 하나가 가스 페이즈에 있으면서 상기 플라즈마가 점화되는, 기판 표면 상에 막을 증착시키기 위한 방법.
  3. 제 1 항에 있어서,
    증착 온도는 200℃ 미만인, 기판 표면 상에 막을 증착시키기 위한 방법.
  4. 제 1 항에 있어서,
    증착 온도는 150℃ 미만인, 기판 표면 상에 막을 증착시키기 위한 방법.
  5. 제 1 항에 있어서,
    플라즈마 노출은 적어도 50J/mL의 변환 효율도를 가지며,
    상기 변환 효율도는 상기 서브-포화된 도즈에서 제 2 반응물 플럭스의 단위 당 적용된 플라즈마 에너지의 양인, 기판 표면 상에 막을 증착시키기 위한 방법.
  6. 제 1 항에 있어서,
    플라즈마 노출은 적어도 150J/mL의 변환 효율도를 가지며,
    상기 변환 효율도는 상기 서브-포화된 도즈에서 제 2 반응물 플럭스의 단위 당 적용된 플라즈마 에너지의 양인, 기판 표면 상에 막을 증착시키기 위한 방법.
  7. 제 1 항에 있어서,
    상기 제 1 반응물은 산화제인, 기판 표면 상에 막을 증착시키기 위한 방법.
  8. 제 1 항에 있어서,
    상기 제 2 반응물의 도즈를 도입하기 전에, 도즈 포화 곡선을 통해 상기 제 2 반응물의 포화된 도즈를 결정하는 단계를 더 포함하는, 기판 표면 상에 막을 증착시키기 위한 방법.
  9. 제 1 항에 있어서,
    상기 서브-포화된 도즈는 상기 제 2 반응물의 4마이크로리터 미만인, 기판 표면 상에 막을 증착시키기 위한 방법.
  10. 제 1 항에 있어서,
    상기 서브-포화된 도즈는 포화된 도즈의 60% 미만인, 기판 표면 상에 막을 증착시키기 위한 방법.
  11. 제 1 항에 있어서,
    상기 기판을 플라즈마에 노출시키기 전에, 퍼지 시간 (purge time) 동안 증기 페이즈로 잔류 제 2 반응물을 퍼지 아웃 (purge out) 시키는 단계를 더 포함하며,
    서브-포화된 도즈 시간 대 상기 퍼지 시간의 비율은 2 미만인, 기판 표면 상에 막을 증착시키기 위한 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 제 2 반응물은 적어도 50Da의 분자량의 둘러싼 원자를 갖는 전구체 분자인, 기판 표면 상에 막을 증착시키기 위한 방법.
  13. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 제 2 반응물은 적어도 140Da의 분자량의 둘러싼 원자를 갖는 전구체 분자인, 기판 표면 상에 막을 증착시키기 위한 방법.
  14. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    증착된 상기 막은 두께가 0.5Å 미만인, 기판 표면 상에 막을 증착시키기 위한 방법.
  15. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    증착된 상기 막은 두께가 0.1Å 미만인, 기판 표면 상에 막을 증착시키기 위한 방법.
  16. 제 1 항에 있어서,
    상기 막은 1.0% 미만의 웨이퍼 내 비-균일도를 갖는, 기판 표면 상에 막을 증착시키기 위한 방법.
  17. 기판 표면 상에 막을 증착시키기 위한 방법으로서,
    단일의 스테이션 또는 멀티-스테이션 반응 챔버의 스테이션에 토포그래픽 피쳐들을 포함하는 기판을 제공하는 단계;
    제 1 반응물이 상기 기판 표면 상으로 흡착되게 하는 조건들 하에서 상기 챔버에 증기 페이즈로 상기 제 1 반응물을 도입하는 단계;
    상기 반응 챔버에 증기 페이즈로 제 2 반응물의 도즈를 도입하는 단계로서, 상기 제 2 반응물의 도즈는 서브-포화된 도즈인, 상기 제 2 반응물의 도즈를 도입하는 단계; 및
    막이 상기 토포그래픽 피쳐들과 일치하도록 상기 막을 형성하기 위해 상기 제 2 반응물의 도즈가 상기 기판 표면 상의 상기 제 1 반응물과 상기 제 2 반응물 사이에서 표면 반응을 일으키게 하기 위해 중지된 경우, 상기 기판 표면을 플라즈마에 주기적으로 노출시키는 단계를 포함하며,
    상기 플라즈마는 챔버 당 적어도 200줄 (Joule) 의 에너지로 생성되는, 기판 표면 상에 막을 증착시키기 위한 방법.
  18. 제 17 항에 있어서,
    증착 온도는 150℃ 미만인, 기판 표면 상에 막을 증착시키기 위한 방법.
  19. 제 17 항에 있어서,
    상기 제 2 반응물의 도즈를 도입하기 전에, 도즈 포화 곡선을 통해 상기 제 2 반응물의 도즈를 결정하는 단계를 더 포함하는, 기판 표면 상에 막을 증착시키기 위한 방법.
  20. 제 17 항에 있어서,
    플라즈마 노출은 적어도 50J/mL의 변환 효율도를 갖는, 기판 표면 상에 막을 증착시키기 위한 방법.
  21. 제 17 항 내지 제 20 항 중 어느 한 항에 있어서,
    증착된 상기 막은 두께가 0.1Å 미만인, 기판 표면 상에 막을 증착시키기 위한 방법.
  22. 기판 상에 막들을 증착시키기 위한 장치로서,
    반응 챔버;
    상기 반응 챔버에 가스 페이즈 반응물들을 전달하기 위한 입구 포트;
    상기 반응 챔버에 플라즈마를 제공하기 위한 플라즈마 생성기; 및
    제어기를 포함하고,
    상기 제어기는,
    제 1 반응물이 기판 표면 상으로 흡착되게 하는 조건들 하에서 상기 반응 챔버에 증기 페이즈로 상기 제 1 반응물을 흐르게 하기 위한 명령들;
    도즈 시간 동안 상기 반응 챔버에 증기 페이즈로 제 2 반응물의 도즈를 흐르게 하기 위한 명령들로서, 상기 제 2 반응물의 도즈는 서브-포화된 도즈인, 상기 제 2 반응물의 도즈를 흐르게 하기 위한 명령들; 및
    막이 토포그래픽 피쳐들과 일치하도록 상기 막을 형성하기 위해 상기 제 2 반응물의 도즈가 상기 기판 표면 상의 상기 제 1 반응물과 상기 제 2 반응물 사이에서 표면 반응을 일으키게 하기 위해 중지될 경우, 적어도 50J/mL의 변환 효율도로 상기 반응 챔버에 플라즈마를 주기적으로 공급하기 위한 명령들을 포함하고,
    상기 변환 효율도는 상기 서브-포화된 도즈에서 제 2 반응물 플럭스의 단위 당 적용된 플라즈마 에너지의 양인, 기판 상에 막들을 증착시키기 위한 장치.
KR1020130126834A 2012-10-23 2013-10-23 서브-포화된 원자층 증착 및 등각막 증착 KR102207992B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210008342A KR102328850B1 (ko) 2012-10-23 2021-01-20 서브-포화된 원자층 증착 및 등각막 증착

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261717576P 2012-10-23 2012-10-23
US61/717,576 2012-10-23

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210008342A Division KR102328850B1 (ko) 2012-10-23 2021-01-20 서브-포화된 원자층 증착 및 등각막 증착

Publications (2)

Publication Number Publication Date
KR20140051807A KR20140051807A (ko) 2014-05-02
KR102207992B1 true KR102207992B1 (ko) 2021-01-26

Family

ID=50547644

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020130126834A KR102207992B1 (ko) 2012-10-23 2013-10-23 서브-포화된 원자층 증착 및 등각막 증착
KR1020210008342A KR102328850B1 (ko) 2012-10-23 2021-01-20 서브-포화된 원자층 증착 및 등각막 증착

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020210008342A KR102328850B1 (ko) 2012-10-23 2021-01-20 서브-포화된 원자층 증착 및 등각막 증착

Country Status (3)

Country Link
US (1) US9355839B2 (ko)
KR (2) KR102207992B1 (ko)
TW (1) TWI595112B (ko)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9018068B2 (en) * 2013-04-24 2015-04-28 Intermolecular, Inc. Nonvolatile resistive memory element with a silicon-based switching layer
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) * 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
FI127503B (en) * 2016-06-30 2018-07-31 Beneq Oy Method of coating a substrate and device
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9887082B1 (en) * 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR101882733B1 (ko) * 2016-12-07 2018-07-30 영남대학교 산학협력단 사산화삼코발트 박막 형성 방법
US11396698B2 (en) * 2017-01-07 2022-07-26 Applied Materials, Inc. ALD process for NiO film with tunable carbon content
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
KR20200002519A (ko) * 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN112868087A (zh) * 2018-10-10 2021-05-28 朗姆研究公司 用于膜沉积和表面处理的连续等离子体
US10896823B2 (en) * 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
TW202028504A (zh) * 2018-12-03 2020-08-01 德商馬克專利公司 高度選擇性沉積金屬膜之方法
US11482413B2 (en) * 2019-10-08 2022-10-25 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1703552A2 (en) 2005-03-15 2006-09-20 ASM America, Inc. Method of forming non-conformal layers
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods

Family Cites Families (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
TW201848B (ko) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
KR100474847B1 (ko) 2001-05-07 2005-03-08 삼성전자주식회사 다성분계 박막 및 그 형성 방법
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
JP4959921B2 (ja) * 2002-03-28 2012-06-27 プレジデント アンド フェロウズ オブ ハーバード カレッジ 二酸化珪素ナノラミネートの蒸着
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
JP4965247B2 (ja) * 2003-04-23 2012-07-04 アイクストロン・インコーポレーテッド 促進されたaldプロセス
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
CN101416293B (zh) 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
WO2008100963A1 (en) 2007-02-12 2008-08-21 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US9199842B2 (en) 2008-12-30 2015-12-01 Nanosys, Inc. Quantum dot films, lighting devices, and lighting methods
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR20120103719A (ko) 2009-12-22 2012-09-19 어플라이드 머티어리얼스, 인코포레이티드 연속 플라즈마에 의한 pecvd 다단계 공정
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
EP3664165B1 (en) 2010-05-21 2022-06-29 ASM International N.V. Method of manufacturing a solar cell
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140120270A1 (en) * 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
CN105765428A (zh) 2013-10-17 2016-07-13 纳米系统公司 发光二极管(led)器件
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1703552A2 (en) 2005-03-15 2006-09-20 ASM America, Inc. Method of forming non-conformal layers
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods

Also Published As

Publication number Publication date
US20140120737A1 (en) 2014-05-01
TW201430164A (zh) 2014-08-01
KR20210013633A (ko) 2021-02-04
KR102328850B1 (ko) 2021-11-19
US9355839B2 (en) 2016-05-31
KR20140051807A (ko) 2014-05-02
TWI595112B (zh) 2017-08-11

Similar Documents

Publication Publication Date Title
KR102328850B1 (ko) 서브-포화된 원자층 증착 및 등각막 증착
US10741458B2 (en) Methods for depositing films on sensitive substrates
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102538780B1 (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition
US9670579B2 (en) Method for depositing a chlorine-free conformal SiN film
US8647993B2 (en) Methods for UV-assisted conformal film deposition
US20160329206A1 (en) Methods of modulating residual stress in thin films
WO2011130397A2 (en) Improved silicon nitride films and methods
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant