DE10392519T5 - System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck - Google Patents

System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck Download PDF

Info

Publication number
DE10392519T5
DE10392519T5 DE10392519T DE10392519T DE10392519T5 DE 10392519 T5 DE10392519 T5 DE 10392519T5 DE 10392519 T DE10392519 T DE 10392519T DE 10392519 T DE10392519 T DE 10392519T DE 10392519 T5 DE10392519 T5 DE 10392519T5
Authority
DE
Germany
Prior art keywords
gas
reactor vessel
precursor
pressure
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE10392519T
Other languages
English (en)
Inventor
Steven C. San Jose Selbrede
Martin Orinda Zucker
Vincent Fremont Venturo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of DE10392519T5 publication Critical patent/DE10392519T5/de
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites

Abstract

Verfahren zum Abscheiden eines Films auf ein Substrat, wobei das Substrat innerhalb eines Reaktorgefäßes bei einem Druck von etwa 0,1 Millitorr bis etwa 100 Millitorr enthalten ist, wobei das Verfahren das Unterziehen des Substrats unter einen Reaktionszyklus umfasst, welcher Folgendes umfasst:
i) Zuführen eines Gasprecursors bei einer Temperatur von etwa 20 °C bis etwa 150 °C und einem Dampfdruck von etwa 0,1 Torr bis etwa 100 Torr in das Reaktorgefäß, wobei der Gasprecursor mindestens eine organometallische Verbindung umfasst; und
ii) Zuführen eines Spülgases, eines oxidierenden Gases oder Kombinationen hiervon in das Reaktorgefäß.

Description

  • Verwandte Anmeldungen
  • Die vorliegende Anmeldung beansprucht die Priorität bezüglich der vorläufigen Anmeldung Serien-Nr. 60/374,218, eingereicht am 19. April 2002.
  • Hintergrund der Erfindung
  • Für die Bildung moderner Halbleitervorrichtungen, wie Mikroprozessoren und DRAMs (Dynamic Random Access Memories), wird es häufig gewünscht, dünne Schichten bzw. Filme auf einem Silicium-Wafer oder einem anderen Substrat zu bilden. Verschiedene Techniken, die häufig zur Abscheidung dünner Filme auf einem Substrat angewandt werden, schließen PVD ("Physikalische Dampfabscheidung" oder "Sputtern") und CVD ("Chemische Dampfabscheidung") ein. Mehrere Typen von CVD kommen häufig zur Anwendung, einschließlich APCVD ("Atmosphärendruck-CVD"), PECVD ("Plasma-verstärkte CVD") und LPCVD ("Niederdruck-CVD"). LPCVD ist typischerweise ein thermisch aktiviertes chemisches Verfahren (im Unterschied zu Plasma-aktivierter PECVD) und schließt im allgemeinen MOCVD ("Metalloorganische CVD") und ALD ("Atomschicht-Abscheidung") als Unterkategorien ein.
  • Ein Problem bei vielen herkömmlichen Filmen besteht darin, daß es schwierig ist, das Niveau an hoher Kapazität oder geringem Leckstrom zu erreichen, welches für neue fortschrittliche Anwendungen, wie Speicherzellen, Mikroprozessor-Gatter, Mobiltelefone, PDAs und dergleichen, gewünscht wird. Als Beispiel wird Siliciumoxynitrid (SiON) oder ein ähnlicher Film herkömmlicherweise als ein Dielektrikum für fortschrittliche Gatter-Anwendungen verwendet. Siliciumoxynitrid besitzt eine dielektrische Konstante "k", welche etwas über SiO2 liegt (k=4), und wird im allgemeinen durch thermische Oxidations- und Nitridationsverfahren erzeugt. Nichtsdestoweniger, weil die dielektrische Konstante relativ niedrig ist, kann die Kapazität einer derartigen Vorrichtung nur durch Verringern der Filmdicke erhöht werden. Unglücklicherweise erzeugt eine derartige Reduktion der Filmdicke eine Zunahme der Film-Fehlerstellen und des quantenmechanischen Tunneleffekts, was daher zu einem hohen Leckstrom führt.
  • Um eine Vorrichtung mit einer höheren Kapazität aber einem niedrigen Leckstrom vorzusehen, ist somit die Verwendung eines Materials mit höherer dielektrischer Konstante vorgeschlagen worden. Zum Beispiel sind Materialien wird Tantalpentoxid (Ta2O5) und Aluminiumoxid (Al2O3) zur Verwendung in Speicherzellen vorgeschlagen worden. Ebenfalls sind Materialien, wie Zirconiumoxid (ZrO2) und Hafniumoxid (HfO2) vorgeschlagen worden, um Siliciumoxid und Siliciumoxynitrid als Mikroprozessor-Gatter zu ersetzen. Um Dünnfilme aus solchen Materialien zu bilden, ist vorgeschlagen worden, daß die Materialien unter Anwendung der herkömmlichen, obenstehend erwähnten PVD- und LPCVD-Techniken abgeschieden werden.
  • Allerdings sind derartige Techniken, obwohl dünne Filme mit hohem k unter Anwendung von PVD abgeschieden werden können, im allgemeinen wegen ihrer hohen Kosten, niedrigem Durchsatz und schlechter Stufen-Konformität unerwünscht. Die vielversprechensten Techniken schließen ALD und MOCVD ein. Beispielsweise beinhaltet ALD im allgemeinen den sequentiellen Kreislauf eines Precursors bzw. Vorläufers und Oxidierers auf die Waferoberfläche zur Bildung einer partiellen Monoschicht des Films während jedem Zyklus. Wie in der 1 gezeigt, beginnt die ALD von ZrO2 unter Verwendung von ZrCl4 und H2O, beispielsweise mit dem Strömen von H2O in den Reaktor unter Bildung einer OH-terminierten Waferoberfläche (Schritt "A"). Nach Ausspülen des H2O aus dem Reaktor (Schritt "B") wird ZrCl4 fließen gelassen, und mit der OH-terminierten Oberfläche zu reagieren und einen Bruchteil einer ZrO2-Monoschicht zu bilden (Schritt "C"). Nachdem das ZrC4 aus dem Reaktor gespült ist, wird der obenstehende Zyklus wiederholt, bis die gewünschte Gesamtfilmdicke erreicht ist.
  • Der Hauptvorteil von herkömmlichen ALD-Techniken besteht darin, daß das Filmwachstum intrinsisch selbst-limitierend ist. Insbesondere wird lediglich ein Bruchteil einer Monoschicht während jedes Zyklus abgeschieden, wobei der Bruchteil eher von der inhärenten Chemie der Reaktion (das Ausmaß der sterischen Hinderung) bestimmt wird als von dem Gasstrom, der Wafertemperatur oder anderen Verfahrensbedingungen. Somit werden für ALD im allgemeinen gleichmäßige und reproduzierbare Filme erwartet.
  • Nichtsdestoweniger besitzen herkömmliche ALD-Techniken trotz ihrer Vorteile eine Vielzahl von Problemen. Beispielsweise können lediglich wenige Precursoren, im allgemeinen Metallhalogenide, in einem ALD-Abscheidungsverfahren verwendet werden. Derartige Precursoren sind bei Raumtemperatur im allgemeinen fest und somit schwierig in den Reaktor zuzuführen. Tatsächlich muß der Precursor häufig auf eine hohe Temperatur erwärmt und in Verbindung mit einem Trägergas zugeführt werden, um ausreichend Precursor in den Reaktor zu befördern. Die Anwendung eines Trägergasverfahrens bedingt, daß die Abscheidungsdrücke im allgemeinen hoch sind, um zu gewährleisten, daß die Precursorkonzentration im Reaktor aureichend ist, was die Fähigkeit des wachsenden Films, Verunreinigungen während der Spül- oder Oxidations-Zyklusschritte auszustoßen, einschränkt. Des weiteren kann ein höherer Betriebsdruck zum Ausgasen von Precursor oder Oxidierer aus Wänden und an deren Oberflächen während des "falschen" Zyklusschrittes führen, was zu einer geringeren Filmregulierung führt. Darüber hinaus kann die Strömungs-Wiederholbarkeit ein Problem sein, weil das Ausmaß der Precursor-Aufnahme empfindlich von der Precursortemperatur und der in der Quellenflasche verbleibenden Menge an Precursor abhängt.
  • Ein weiterer Nachteil herkömmlicher ALD-Techniken ist, daß Metallhalogenid-Precursoren im allgemeinen Filme mit Halogenidverunreinigungen erzeugen, welche einen nachteiligen Effekt auf die Filmeigenschaften besitzen können. Weiterhin können manche Halogenide, wie Chlor, Reaktor- oder Pumpenschaden oder Umweltauswirkungen herbeiführen. Noch ein anderer Nachteil von herkömmlichen ALD-Techniken ist, daß die Abscheidungsrate sehr niedrig sein kann, weil nur eine partielle Monoschicht während jedes Zyklus abgeschieden wird, was zu einem geringen Durchsatz und hohen Betriebskosten führt. Schließlich besitzen ALD-Metallprecursoren die Neigung, in den Zufuhrleitungen und auf Reaktoroberflächen zu kondensieren, was zu potentiellen praktischen Problemen führt.
  • Eine alternative LPCVD-Abscheidungstechnik ist MOCVD. In diesem Verfahren kann ein organischer Precursor, wie Zirconium-tert-butoxid (Zr[OC4H9]4) verwendet werden, um ZrO2 abzuscheiden. Dies kann durch die thermische Zersetzung des Zirconium-tert-butoxids auf der Waferoberfläche erfolgen, oder Sauerstoff kann zugegeben werden, um eine vollständige Oxidation des Precursors zu gewährleisten. Ein Vorteil dieses Verfahrens ist, daß eine große Vielzahl an Precursor-Auswahlmöglichkeiten zur Verfügung steht. Tatsächlich können sogar herkömmliche ALD-Precursoren verwendet werden. Einige dieser Precursoren sind Gase oder Flüssigkeiten mit Dampfdrücken, welche gestatten, daß die Precursoren leichter in den Reaktor zugeführt werden. Ein weiterer Vor teil von MOCVD besteht darin, daß die Abscheidung kontinuierlich (nicht zyklisch), bei höheren Abscheidungsraten und geringeren Betriebskosten, erfolgt.
  • Ein Hauptnachteil von MOCVD ist jedoch, daß die Abscheidungsrate und Filmstöchiometrie nicht intrinsisch selbst-limitierend sind. Insbesondere die Filmabscheidungsrate ist im allgemeinen abhängig von der Temperatur und der Precursor-Strömungsgeschwindigkeit. Somit muß die Wafer-Temperatur sehr sorgfältig reguliert werden, um eine annehmbare Gleichmäßigkeit und Reproduzierbarkeit der Filmdicke zu erzielen. Weil MOCVD-Precursoren im allgemeinen unter Einsatz eines erwärmten Bubblers bzw. Gasspülers mit einem Trägergas zugeführt werden, ist es jedoch für gewöhnlich ebenfalls schwierig, den Precursor-Fluß durch diese Technik zu regulieren. Ein weiterer Nachteil von herkömmlicher MOCVD besteht darin, daß der Prozessdruck im allgemeinen hoch ist, was zu potentiell komplexen Reaktionen mit Kontaminanten aus Reaktoroberflächen führen kann. Wenn die Abscheidungsrate zu hoch ist, können auch Verunreinigungen aus dem Reaktor oder Precursoren (wie Kohlenstoff) innerhalb des Films eingebaut werden.
  • Daher besteht derzeitig ein Bedarf nach einem verbesserten System zur Abscheidung eines Films auf einem Substrat.
  • Zusammenfassung der Erfindung
  • Gemäß einer Ausführungsform der vorliegenden Erfindung wird ein Verfahren zur Abscheidung eines Films auf einem Substrat (z.B. Halbleiter-Wafer) offenbart. Das Substrat kann innerhalb eines Reaktorgefäßes bei einem Druck von etwa 0,1 Millitorr bis etwa 100 Millitorr, und in einigen Ausführungsformen, von etwa 0,1 Millitorr bis etwa 10 Millitorr, und auch bei einer Temperatur von etwa 100°C bis etwa 500°C, und in manchen Ausführungsformen von etwa 250°C bis etwa 450°C, enthalten sein.
  • Das Verfahren umfaßt das Unterwerfen des Substrates unter einen Reaktionszyklus, der das Einspeisen eines Gas-Precursors in das Reaktorgefäß bei einer Temperatur von etwa 20°C bis etwa 150°C und einem Dampfdruck von etwa 0,1 Torr bis etwa 100 Torr umfaßt. In einigen Ausführungsformen beläuft sich der Gas-Precursor-Dampfdruck auf etwa 0,1 Torr bis etwa 10 Torr, und die Gas-Precursor-Temperatur beträgt etwa 20°C bis etwa 80°C. Der Gas-Precursor umfaßt mindestens eine organometallische Verbindung und kann ohne die Verwendung eines Trägergases oder eines Bubblers zugeführt werden. Falls gewünscht, kann die Fließgeschwindigkeit des Gas-Precursors reguliert werden (z.B. unter Verwendung einer Steuereinrichtung auf Druckbasis), um die Verfahrens-Wiederholbarkeit zu verbessern.
  • Neben einem Gas-Precursor kann der Reaktionszyklus auch das Zuführen eines Spülgases, eines oxidierenden Gases oder Kombinationen hiervon in das Reaktorgefäß einschließen. Beispielsweise kann das Spülgas gewählt werden aus der Gruppe, bestehend aus Stickstoff, Helium, Argon und Kombinationen hiervon. Darüber hinaus kann das oxidierende Gas gewählt werden aus der Gruppe, bestehend aus Stickstoffoxid, Sauerstoff, Ozon, Distickstoffoxid, Dampf und Kombinationen hiervon.
  • Als ein Ergebnis des Reaktionszykluses wird wenigstens eine partielle Monoschicht eines Films gebildet. Beispielsweise kann der Film ein Metalloxid enthalten, welches, ohne Einschränkung darauf, Aluminiumoxid (Al2O3), Tantaloxid (Ta2O5), Titanoxid (TiO2), Zirconiumoxid (ZrO2), Hafniumoxid (HfO2), Yttriumoxid (Y2O3), Kombinationen hiervon und dergleichen einschließt. Darüber hinaus kann der Film auch ein Metallsilicat, wie Hafniumsilicat oder Zirconiumsilicat, enthalten. Zusätzliche Reaktionszyklen können angewandt werden, um die Zieldicke (z.B. weniger als etwa 30 Nanometer) zu erreichen.
  • Gemäß einer anderen Ausführungsform der vorliegenden Erfindung wird ein bei niedrigem Druck arbeitendes chemisches Dampfabscheidungssystem zur Abscheidung eines Films auf einem Substrat offenbart. Das System umfaßt ein Reaktorgefäß, welches einen Substrathalter für das zu beschichtende Substrat und einen Precursor-Ofen, angepaßt zur Zuführung eines Gas-Precursors an das Reaktorgefäß bei einer Temperatur von etwa 20°C bis etwa 150°C, und in manchen Ausführungsformen von etwa 20°C bis etwa 80°C, einschließt. Der Precursor-Ofen kann eine oder mehrere Heizeinrichtungen zur Erwärmung des Gas-Precursors auf die gewünschte Temperatur enthalten. Das Reaktorgefäß kann mehrere Substrathalter zum Tragen mehrerer Substrate enthalten.
  • Das System umfaßt ferner eine druckbasierende Steuereinrichtung, fähig zur Regulierung der Fließrate des aus dem Precursorofen zugeführten Gasprecursors, so daß dieser bei einem Dampfdruck von etwa 0,1 Torr bis etwa 100 Torr und in manchen Ausführungsformen von etwa 0,1 Torr bis etwa 10 Torr in das Reaktorgefäß zugeführt wird. Die druckbasierende Steuereinrichtung kann mit einem oder mehreren Ventilen kommunizieren. Zum Beispiel können die Ventile, in einer Ausführungsform, an einen Reaktordeckel, welcher das Reaktorgefäß und den Precursorofen trennt, fest-gekoppelt sein.
  • Das System kann auch eine Gasverteiler-Baugruppe umfassen, welche den Gasprecursor aus dem Precursorofen empfängt und an das Reaktorgefäß zuführt. Beispielsweise kann die Gasverteiler-Baugruppe einen Brausekopf einschließen, welcher ein Plenum bzw. eine Sammelkammer aufweist. Während eines Reaktionszykluses kann das Verhältnis, definiert durch den Druck an der Brausekopf-Sammelkammer, dividiert durch den Druck des Reaktorgefäßes, etwa 1 bis etwa 5, und in manchen Ausführungsformen etwa 2 bis etwa 4, betragen.
  • Neben den obenstehend erwähnten Komponenten kann das System auch verschiedene andere Komponenten verwenden. Zum Beispiel kann das System, in einer Ausführungsform, einen entfernt gelegenen Plasmagenerator in Kommunikation mit dem Reaktorgefäß umfassen. Darüber hinaus kann das System eine Energiequelle umfassen, welche zum Erwärmen des Substrats auf eine Temperatur von etwa 100°C bis etwa 500°C und in manchen Ausführungsformen von etwa 250°C bis etwa 450°C in der Lage ist.
  • Andere Merkmale und Aspekte der vorliegenden Erfindung werden in größerer Ausführlichkeit nachstehend erörtert.
  • Kurze Beschreibung der Zeichnungen
  • Eine vollständige und befähigende Beschreibung der vorliegenden Erfindung, einschließlich der besten Form davon, welche sich an den Durchschnittsfachmann richtet, wird im genaueren im Rest der Beschreibung dargelegt, wobei Bezug auf die beigefügten Figuren genommen wird, worin:
  • 1 eine graphische Wiedergabe der Fließraten- und Zeitperioden-Profile von zwei Reaktionszyklen für die Abscheidung von ZrO2 unter Anwendung der Abfolge H2O-Spülen-ZrCl4-Spülen (A-B-C-B) in einem herkömmlichen ALD-Verfahren ist;
  • 2 eine graphische Wiedergabe der Fließraten- und Zeitperioden-Profile von zwei Reaktionszyklen zur Abscheidung eines Oxidfilms unter Anwendung der Abfolge Precursor-Spülen-Oxidierer-Spülen (A-B-C-D) gemäß einer Ausführungsform der vorliegenden Erfindung ist;
  • 3 eine Illustration einer Ausführungsform eines Systems, welches in der vorliegenden Erfindung angewandt werden kann, ist;
  • 4 eine exemplarische graphische Illustration der Beziehung zwischen Abscheidungsdicke und Abscheidungstemperatur für ein Nicht-ALD-Zyklusverfahren und ein ALD-Verfahren ist;
  • 5 die Rückdruckmodell-Ergebnisse für einen Strom von Hafnium(IV)-t-butoxid von 1 Standardkubikzentimeter pro Minute gemäß einer Ausführungsform der vorliegenden Erfindung veranschaulicht;
  • 6 die Dampfdruckkurve von Hafnium(IV)-t-butoxid veranschaulicht, wobei das Gas einen Dampfdruck von 1 Torr bei 60°C und 0,3 Torr bei 41 °C besitzt;
  • 7 die Dampfdruckkurve von HfCl4 veranschaulicht, wobei das Gas einen Dampfdruck von 1 Torr bei 172°C und 0,3 Torr bei 152°C aufweist.
  • 8 eine Ausführungsform eines Precursorofens veranschaulicht, welcher in der vorliegenden Erfindung eingesetzt werden kann, wobei 8a die Auslegung des Precursorofens aus einer Perspektive von oben zeigt, und die 8b die Auslegung des Precursorofens aus einer Perspektive von unten zeigt, wobei die Brauseköpfe und der Reaktordeckel veranschaulicht werden;
  • 9 eine Ausführungsform eines Reaktorgefäßes veranschaulicht, welches in der vorliegenden Erfindung verwendet werden kann; und
  • 10 ein schematisches Diagramm einer Ausführungsform des Systems der vorliegenden Erfindung ist, welches Gasströmungs- und Vakuum-Komponenten veranschaulicht.
  • Die wiederholte Benutzung von Bezugsziffern in der vorliegenden Beschreibung und den Zeichnungen erfolgt in der Absicht, dieselben oder ähnliche Merkmale oder Elemente der Erfindung zu repräsentieren.
  • Ausführliche Beschreibung von stellvertretenden Ausführungsformen
  • Der Durchschnittsfachmann auf dem Gebiet soll verstehen, daß die vorliegende Erörterung lediglich eine Beschreibung von beispielhaften Ausführungsformen ist und nicht als Einschränkung der weitergefaßten Aspekte der vorliegenden Erfindung beabsichtigt ist, wobei diese weitergefaßten Aspekte in der beispielhaften Konstruktion verkörpert sind.
  • Die vorliegende Erfindung richtet sich im allgemeinen auf ein System und ein Verfahren zur Abscheidung eines Dünnfilms auf ein Substrat. Der Film kann im allgemeinen eine Dicke von weniger als etwa 30 Nanometer besitzen. Wenn beispielsweise logische Vorrichtungen, wie MOSFET-Elemente, gebildet werden, beläuft sich die resultierende Dicke typischerweise auf etwa 1 bis etwa 8 Nanometer und in manchen Ausführungsformen etwa 1 bis etwa 2 Nanometer. Wenn Speicherelemente, wie DRAMs, gebildet werden, beträgt die resultierende Dicke weiterhin typischerweise etwa 2 bis etwa 30 Nanometer und in manchen Ausführungsformen etwa 5 bis etwa 10 Nanometer. Die dielektrische Konstante des Films kann in Abhängigkeit von den gewünschten Merkmalen des Films ebenfalls relativ niedrig (z.B. geringer als etwa 5) oder hoch (größer als etwa 5) sein. Zum Beispiel könnten gemäß der vorliegenden Erfindung gebildete Filme eine relativ hohe dielektrische Konstante "k", wie größer als etwa 8 (z.B. von etwa 8 bis etwa 200), in manchen Ausführungsformen größer als etwa 10, und in einigen Ausführungsformen größer als etwa 15 aufweisen.
  • Das System der vorliegenden Erfindung kann angewandt werden, um einen Film abzuscheiden, welcher ein Metalloxid enthält, in welchem das Metall Aluminium, Hafnium, Tantal, Titan, Zirconium, Yttrium, Silicium, Kombination hiervon und dergleichen ist. Beispielsweise kann das System eingesetzt werden, um einen Dünnfilm eines Metalloxids, wie Aluminiumoxid (Al2O3), Tantaloxid (Ta2O5), Titanoxid (TiO2), Zirconiumoxid (ZrO2), Hafniumoxid (HfO2), Yttriumoxid (Y2O3) und dergleichen, auf einen aus Silicium hergestellten Halbleiter-Wafer abzuscheiden. Zum Beispiel bildet Tantaloxid typischerweise einen Film mit einer dielektrischen Konstante zwischen etwa 15 und etwa 30. Auch eine Metallsilicat- oder -aluminat-Verbindung, wie Zirconiumsilicat (SiZ rO4), Hafniumsilicat (SiHfO4), Zirconiumaluminat (ZrAlO4), Hafniumaluminat (HfAlO4) und dergleichen, kann abgeschieden werden. Ferner kann auch eine stickstoffhaltige Verbindung, wie Zirconiumoxynitrid (ZrON), Hafniumoxynitrid (HfON) und dergleichen abgeschieden werden. Darüber hinaus können andere Dünnfilme ebenfalls gebildet werden, einschließlich, ohne Einschränkung darauf, Dielektrika für Gatter- und Kondensator-Anwendungen, metallischer Elektroden für Gatteranwendungen, ferroelektrischer und piezoelektrischer Filme, Leitungsbarrieren und Ätzungs-Stops, Wolfram-Keimschichten, Kupfer-Keimschichten und seichter Gräben-Isolierungs-Dielektrika und Nieder-k-Dielektrika.
  • Um den Film abzuscheiden, kann das Substrat einem oder mehreren Reaktionszyklen unter Anwendung eines Systems der vorliegenden Erfindung unterzogen werden. In einem typischen Reaktionszyklus wird das Substrat zum Beispiel auf eine bestimmte Temperatur erwärmt (z.B. etwa 20°C bis etwa 500°C). Danach werden ein oder mehrere reaktive Gasprecursor auf zyklische Weise in das Reaktorgefäß zugeführt. Dann können zusätzliche Reaktionszyklen angewandt werden, um andere Schichten) auf das Substrat abzuscheiden, um einen Film mit einer gewünschten Dicke zu erzielen. Als Ergebnis kann ein Film in einem Reaktionszyklus gebildet werden, welcher eine Dicke, die gleich zu wenigstens einer partiellen Monoschicht ist, besitzt.
  • Unter Bezugnahme auf 3 wird nun, zum Beispiel, eine Ausführungsform eines Systems ausführlicher beschrieben werden, welches für die Abscheidung eines Films auf ein Substrat angewandt werden kann. Es versteht sich jedoch, daß das hierin beschriebene und veranschaulichte System lediglich eine Ausführungsform ist, welche in der vorliegenden Erfindung angewandt werden kann, und daß andere Ausführungsformen ebenfalls in der vorliegenden Erfindung in Betracht gezogen werden. In dieser Hinsicht wird ein System 80 veran schaulicht, welches im allgemeinen ein Reaktorgefäß 1 (siehe auch 9) und einen Precursor-Ofen 9 einschließt, welche durch einen Reaktordeckel 37 getrennt sind (siehe auch 8a8b). Das Reaktorgefäß 1 ist angepaßt, um ein oder mehrere Substrate aufzunehmen, wie Halbleiter-Wafer 28, und kann aus einem beliebigen einer Vielzahl von unterschiedlichen Materialien hergestellt sein, wie nicht-rostendem Stahl, Keramik, Aluminium und dergleichen. Es versteht sich jedoch, daß das Reaktorgefäß 1 auch angepaßt ist, um, außer Wafern, andere Substrate, wie optische Teile, Folien, Fasern, Bänder etc. zu verarbeiten.
  • Das Reaktorgefäß 1 kann während eines Reaktionszyklus mit einem Hochvakuum (Niederdruck) versehen sein. In der veranschaulichten Ausführungsform wird der Druck innerhalb des Reaktorgefäßes 1 durch ein Druckmeßgerät 10 überwacht und wird durch ein Drosselgatterventil 4 reguliert. Der niedrige Reaktorgefäßdruck kann auf vielen Wegen erzielt werden. In der veranschaulichten Ausführungsform wird der niedrige Druck zum Beispiel unter Verwendung eines Vakuumrohrs 30 und einer Turbomolekular-Pumpe 5 erzielt, welche mit einer Öffnung 60 verbunden ist (siehe auch 9). Selbstverständlich können auch andere Techniken zum Erreichen des niedrigen Drucks in der vorliegenden Erfindung eingesetzt werden. Beispielsweise können andere Pumpen, wie Kryopumpen, Diffusionspumpen, mechanische Pumpen und dergleichen in Verbindung mit oder anstelle der turbomolekularen Pumpe 5 eingesetzt werden. Gegebenenfalls können die Wände des Reaktorgefäßes 1 auch mit einem Material, wie Nickel, beschichtet oder plattiert sein, welches die Wand-Ausgasung während des Vakuumdrucks verringert.
  • Falls gewünscht, kann die Temperatur der Wände des Reaktorgefäßes 1 auch während eines Reaktionszyklus reguliert werden (z. B. bei einer konstanten Temperatur gehalten werden), indem eine Heizvorrichtung 34 und/oder ein Kühlkanal 33 verwendet werden. Eine Temperatursteuereinrichtung (nicht gezeigt) kann ein Temperatursignal von einer Temperaturfühler-Einrichtung (z.B. einem Thermoelement) empfangen und in Antwort darauf die Wände auf die gewünschte Temperatur heizen oder kühlen, falls notwendig.
  • Das System 80 schließt auch zwei Wafer 28 ein, positioniert auf Substrathaltern 2. Es versteht sich jedoch, daß unter Anwendung des Systems der vorliegenden Erfindung ein Film auf jedwede Anzahl von Wafern 28 aufgetragen werden kann. In einer Ausführungsform wird zum Beispiel ein einzelner Wafer in das System 80 eingeführt, und ein Film wird auf diesen aufgebracht. In einer anderen Ausführungsform können drei oder vier Wafer in das System 80 eingeführt und mit einem Film beschichtet werden. Wie gezeigt, können die Wafer 28 durch eine Reaktor-Schlitztür 7 in das Reaktorgefäß 1 eingebracht werden (siehe auch 9).
  • Sobald auf den Substrathaltern 2 positioniert, können die Wafer 28 unter Anwendung von gut bekannten Techniken (z.B. mechanischen und/oder elektrostatischen) an diese geklammert werden. Während eines Reaktionszykluses können die Wafer 28 durch Heizvorrichtungen (nicht gezeigt), welche innerhalb der Substrathalter 2 eingebettet sind, erwärmt werden. Unter Bezugnahme auf die 9 kann das Reaktorgefäß 1 zum Beispiel zwei Spannvorrichtungen bzw. Klemmbacken 102 enthalten, auf welchen Wafer positioniert und mit Klammern 104 angeklammert werden können. Alternativ dazu können die Wafer 28 durch andere gut bekannte, im Fachgebiet angewandte Techniken erwärmt werden, wie durch Licht, Laser (z.B. einen Stickstofflaser), Ultraviolettstrahlungs-Heizvorrichtungen, Bogenlampen, Blitzlampen, Infrarotstrahlungs-Vorrichtungen, Kombinationen hiervon und dergleichen.
  • Um die Wärmeleitung zwischen den Wafern 28 und den Substrathaltern 2 zu erleichtern, kann ein Rückseitengas (z.B. Helium) an die Rückseite der Wafer 28 über eine Gaszufuhrleitung 29 zugeführt werden. In der in 9 gezeigten Ausführungsform können zum Beispiel die Klemmbacken 102 Furchen 106 enthalten, durch welche das Helium den Raum zwischen dem Wafer 28 und den Klemmbacken 102 effizient ausfüllen kann. Nach der Zufuhr wird überschüssiges Rückseitengas zu einer Durchflußleitung 32 abgeleitet. Eine Steuereinrichtung auf Druckbasis 31 kann den Druck hinter dem Wafer während der Ableitung des Rückseitengases festsetzen. Allgemein ausgedrückt, wird die Menge an Helium, welche in das Reaktorgefäß 1 einströmt, konstant innerhalb eines Bereichs von etwa 2 bis etwa 20 Standard-Kubikzentimeter pro Minute gehalten.
  • Ebenfalls innerhalb des Reaktorgefäßes 1 sind Hebestifte 3 positioniert, welche konfiguriert sind, um die Wafer 28 von den Substrathaltern 2 so aufwärts zu bewegen, daß ein Vakuumroboter (nicht gezeigt) die Wafer 28 in das Reaktorgefäß 1 einbringen und daraus entnehmen kann, um einen Reaktionszyklus zu beginnen.
  • Neben dem Reaktorgefäß 1 schließt das System 80 auch einen Precursor-Ofen 9 ein, welcher ausgelegt ist, um ein oder mehrere Gase bei einer bestimmten Temperatur und Strömung während eines Reaktionszyklus in das Reaktorgefäß 1 zuzuführen (siehe auch 8a8b). Obwohl nicht erforderlich, kann der Precursorofen 9 aus einem isolierenden und wärmebeständigen Material, wie PVC-Kunststoff, Delrin, Teflon und dergleichen, hergestellt sein. Im allgemeinen steht der Ofen 9 in thermischer Verbindung mit einer oder mehreren Heizvorrichtungen 35, welche konfiguriert sind, um durchfließende Gase und/oder Komponenten innerhalb des Ofens 9 vor und/oder während eines Reaktionszyklus zu erwärmen. Ein Thermoelement kann die Temperatur des Ofens 9 messen, und, zum Beispiel, eine externe PID-Temperatursteuereinrichtung kann die Leistung an den Heizvorrichtungen) 35 einstellen, um die gewünschte Temperatur aufrecht zu erhalten. Darüber hinaus können ein oder mehrere Gebläse (nicht gezeigt) innerhalb des Precursor-Ofens 9 eingeschlossen sein, um eine gleichmäßigere Temperaturverteilung über den Ofen 9 hinweg vorzusehen.
  • In einer Ausführungsform enthält der Precursorofen 9 mindestens einen Precursorvorrat 11, welcher ein oder mehrere Precursor-Gase in das Reaktionsgefäß 1 liefert. In dieser Ausführungsform isoliert ein Ventil 12 den Precursorvorrat 11, so daß der Precursorvorrat 11 vor der Installation in den Precursor-Ofen 9 aufgefüllt werden kann. Um den Precursorvorrat 11 innerhalb des Precursorofens 9 zu installieren, wird der Precursorvorrat 11 an eine Precursorzuführungsleitung 14 angeschlossen. Danach wird die Zuführungsleitung 14 unter Verwendung eines Ventils 36 ausgepumpt und/oder gespült. Vor der Abscheidung auf ein Substrat kann der Gasprecursor durch die Heizvorrichtungen) 35 erwärmt werden, um einen bestimmten Dampfdruck zu erreichen. In einigen Ausführungsformen wird der Gasprecursor beispielsweise unter Verwendung einer Temperaturfühlervorrichtung (z.B. Thermoelement) und einer Temperatur-Steuereinrichtung (nicht gezeigt) bei einer Temperatur von etwa 20°C bis etwa 150°C gehalten. Eine typische Einstellpunkt-Temperatur für Zirconium-t-butoxid beläuft sich zum Beispiel auf etwa 50°C bis etwa 75°C.
  • Nachdem er auf die gewünschte Temperatur erwärmt worden ist, kann der innerhalb des Vorrats 11 enthaltene Gasprecursor dann durch die Zuführungsleitung 14 in das Reaktorgefäß 1 zugeführt werden. Die Steuerung der Strömung des Gasprecursors in das Reaktorgefäß 1 wird durch die Anwendung eines Ventils 13, eines Druck-basierenden Strömungsregulators 15 und eines Ventils 16 ermöglicht. Das Leitvermögen des Precursorgas-Zuführungsweges aus dem Vorrat 11 in das Reaktorgefäß 1 kann maximiert werden, so daß der Rückdruck minimiert wird, wodurch eine Minimaltemperatur des Precursorofens 9 gestattet wird. In einer Ausführungsform kann die druckbasierende Strömungs-Steuereinrichtung 15 zum Beispiel einen Druckabfall in der Größenordnung des 2- bis 3fachen für eine angemessene Druckkontrolle verwenden, obwohl sicherlich andere Druckabfälle verwendet werden können. Durch Einsetzen einer druckbasierenden Steuereinrichtung 15 zur Regulierung der Strömungsgeschwindigkeit des Gas-Precursors muß die Temperatursteuervorrichtung nicht so präzise sein, wie bei Trägergas- oder Bubbler-Typ-Konfigurationen.
  • Die Zuführungsleitung 14 speist das Precursorgas in zwei Brauseköpfe 61 ein, welche Brausekopfplatten 6 und Sammelkammern 8 enthalten, obwohl sicherlich jedwede Anzahl an Brauseköpfen 61 in der vorliegenden Erfindung verwendet werden kann. Die Brausekopfplatte 6 besitzt Löcher zum Austeilen eines Gases auf die Oberfläche der Wafer 28. Obwohl nicht erforderlich, werden die Brauseköpfe 61 typischerweise etwa 0,3 bis etwa 5 Inch von der oberen Oberfläche der Wafer 28 entfernt positioniert. Die Konfiguration und die Auslegung der Löcher in den Brauseköpfen 61 kann variiert werden, um verschiedene Kammerkonfigurationen und Anwendungen zu unterstützen. In manchen Ausführungsformen können zahlreiche kleine Löcher in geraden Reihen oder in einem wabenförmigen Muster mit gleichgroßen Löchern und gleichem Abstand zwischen den Löchern angeordnet sein. In anderen Ausführungsformen kann die Dichte und Größe der Löcher variiert werden, um eine gleichmäßigere Abscheidung zu fördern. Darüber hinaus können die Löcher richtungsmäßig angewinkelt sein, oder der Brausekopf kann geneigt sein, um den Gasstrom der jeweiligen Kammer zu kompensieren. Im allgemeinen werden die Größen, das Muster und die Richtung der Löcher gewählt, um eine gleichmäßige Abscheidung über die gesamte Substratoberfläche zu fördern, wobei die Konfiguration des Reaktorgefäßes und anderer Komponenten berücksichtigt werden.
  • Wie obenstehend angegeben, trennt ein Reaktordeckel 37 den Precursorofen 9 vom Reaktorgefäß 1. Der Reaktordeckel 37 ist im allgemeinen aus Aluminium oder nichtrostendem Stahl gebildet und kann verhindern, daß das Reaktorgefäß 1 an Luft aus der umgebenden Umwelt exponiert wird. In einigen Ausführungsformen können ein oder mehrere der Ventile, verwendet zur Regulierung der Strömung von Gasen innerhalb des Systems 80, an den Reaktordeckel 37 festgekoppelt sein. Die feste Ankopplung ermöglicht, daß die Länge der Gaszuführungsleitungen minimiert wird, so daß die Vakuum-Leitfähigkeit der Leitungen relativ hoch sein kann. Leitungen und Ventile mit hohem Leitungsvermögen führen zu einem verringerten Rückdruck aus den Brauseköpfen gegen die Precursorquellgefäße. In einer Ausführungsform werden beispielsweise die Ventile 16, 18 (nachstehend ausführlicher erörtert), 21 und 23 an den Reaktordeckel 37 festgekoppelt, so daß das Volumen der Brausekopf-Sammelkammer 8 minimiert wird. In dieser Ausführungsform schließt das Volumen der Brausekopf-Sammelkammer 8 das Volumen hinter der Brausekopf-Frontplatte 6 sowie das Volumen der Verbindungsleitungen bis zu den Ventilsitzen für die Ventile 16, 18, 21 und 23 ein.
  • Um einen Film auf den Wafern 28 zu bilden, werden ein oder mehrere Gase in das Reaktorgefäß 1 eingespeist. Der Film kann direkt auf den Wafern 28 oder auf einer Barrierenschicht, wie einer Siliciumnitridschicht, welche zuvor auf den Wafern 28 gebildet wurde, aufgebaut werden. In dieser Hinsicht, unter Bezugnahme auf die 23, wird nun eine Ausführungsform des Verfahrens der vorliegenden Erfindung zur Bildung eines Films auf den Wafern 28 ausführlicher beschrieben werden. Es versteht sich jedoch, daß andere Abscheidetechniken ebenfalls in der vorliegenden Erfindung angewandt werden können.
  • Wie gezeigt, wird ein Reaktionszyklus eingeleitet, indem zuerst die Wafer 28 auf eine gewisse Temperatur erwärmt werden. Die jeweilige Wafertemperatur für einen gegebenen Reaktionszyklus kann im allgemeinen variieren, basierend auf dem verwendeten Wafer, den verwendeten Gasen und/oder den gewünschten Merkmalen des abgeschiedenen Films, wie nachstehend ausführlicher erläutert werden wird. Wenn zum Beispiel eine dielektrische Schicht auf einen Siliciumwafer abgeschieden wird, wird die Wafertemperatur typischerweise auf etwa 20°C bis etwa 500°C, in manchen Ausführungsformen etwa 100°C bis etwa 500°C, und in einigen Ausführungsformen etwa 250°C bis etwa 450°C gehalten. Darüber hinaus kann der Reaktorgefäßdruck während eines Reaktionszyklus im Bereich von etwa 0,1 Millitorr ("mTorr") bis etwa 100 mTorr und in einigen Ausführungsformen von etwa 0,1 mTorr bis 10 mTorr liegen. Ein niedriger Reaktorgefäßdruck kann die Entfernung von Reaktionsverunreinigungen, wie Kohlenwasserstoff-Nebenprodukten, aus dem abgeschiedenen Film verbessern und kann dabei helfen, das Precursor- und Oxidierungs-Gas während der Spülzyklen) zu entfernen. Typische ALD- und MOCVD-Verfahren arbeiten andererseits gewöhnlich bei viel höheren Drücken.
  • Wie durch Schritt "A" in der 2 veranschaulicht, wird ein Gasprecursor (veranschaulicht als "P1" in der 3) in das Reaktorgefäß 1 zugeführt, während die Wafer 28 bei der Wafertemperatur über die Leitung 14 während einer Zeitdauer "TA" und bei einer gewissen Strömungsgeschwindigkeit "FA" gehalten werden. Im Besonderen wird der Gasprecursor durch Öffnen der Ventile 12, 13 und 16 in das Reaktorgefäß 1 eingespeist, wobei die Strömung mittels einer Druck-basierenden Strömungs-Regeleinrichtung 15, wie einer Strömungs-Regeleinrichtung MKS Modell 1150 oder 1153, gesteuert wird. Folglich strömt der Gasprecursor durch die Leitung 14, füllt die Brausekopf-Sammelkammer 8 und fließt in das Reaktorgefäß 1. Falls gewünscht können auch die Ventile 19 und/oder 22 gleichzeitig zu der Öffnung der Gasprecursor-Einspeisungsventile 12, 13 und 16 geöffnet werden, um den Fluß von Spülgas und oxidierendem Gas durch die Ventile zu der Bypass-Pumpe vorzusehen. Die gleichzeitige Öff nung der Ventile 19 und 22 kann ermöglichen, einen stabilen Fluß der Spül- und/oder Oxidierungs-Gase einzurichten, bevor diese Gase in das Reaktorgefäß 1 zugeführt werden. Die Gasprecursor-Strömungsgeschwindigkeit "FA" kann variieren, beträgt aber typischerweise etwa 0,1 bis etwa 10 Standard-Kubikzentimeter pro Minute, und in einer Ausführungsform etwa 1 Standard-Kubikzentimeter pro Minute. Die Gasprecursor-Zeitdauer "TA" kann ebenfalls variieren, beträgt aber typischerweise etwa 0,1 bis etwa 10 Sekunden oder mehr, und in einer Ausführungsform etwa 1 Sekunde. Nach Kontaktieren der erwärmten Wafer 28 chemisorbiert, physisorbiert oder reagiert der Gasprecursor anderweitig mit der Oberfläche der Wafer 28.
  • Im allgemeinen kann eine Vielzahl von Gas-Precursoren in der vorliegenden Erfindung zur Bildung des Films verwendet werden. Zum Beispiel können einige geeignete Gas-Precursoren, ohne darauf eingeschränkt zu sein, diejenigen Gase einschließen, welche Aluminium, Hafnium, Tantal, Titan, Silicium, Yttrium, Zirconium, Kombinationen hiervon und dergleichen enthalten. In einigen Fällen kann der Dampf einer organo-metallischen Verbindung als der Precursor verwendet werden. Einige Beispiele derartiger organo-metallischen Gas-Precursoren können, ohne darauf eingeschränkt zu sein, Tri-i-butylaluminium, Aluminiumethoxid, Aluminiumacetylacetonat, Hafnium(IV)-t-butoxid, Hafnium(IV)-ethoxid, Tetrabutoxysilan, Tetraethoxysilan, Pentakis(dimethylamino)tantal, Tantalethoxid, Tantalmethoxid, Tantaltetraethoxyacetylacetonat, Tetrakis(diethylamino)titanium, Titan-t-butoxid, Titanethoxid, Tris(2,2,6,6-tetramethyl-3,5-heptandionato)titanium, Tris[N,N-bis(trimethylsilyl)amid]yttrium, Tris(2,2,6,6-tetramethyl-3,5-heptandionato)yttrium, Tetrakis(diethylamino)zirconium, Zirconium-t-butoxid, Tetrakis(2,2,6,6-tetramethyl-3,5-heptandionato)zirconium, Bis(cyclopentadienyl)dimethylzirconium und dergleichen einschließen. Es versteht sich jedoch, daß in der vorliegenden Erfindung anorganische metallische Gas-Precursoren in Verbindung mit organischen metallischen Precursoren verwendet werden können. In einer Ausführungsform wird zum Beispiel ein organischer metallischer Precursor (z.B. Organosilicium-Verbindung) während eines ersten Reaktionszyklus verwendet, wohingegen ein anorganischer metallischer Precursor (z.B. eine siliciumhaltige anorganische Verbindung) während eines zweiten Reaktionszyklus verwendet wird, oder umgekehrt.
  • Es ist festgestellt worden, daß organometallische Gas-Precursoren, wie obenstehend beschrieben, bei einem relativ geringen Dampfdruck in das Reaktorgefäß 1 eingespeist werden können. Der Dampfdruck des Gas-Precursors kann im allgemeinen basierend auf der Temperatur des Gases und dem jeweiligen gewählten Gas variieren. In den meisten Ausführungsformen liegt der Dampfdruck des Gas-Precursors jedoch im Bereich von etwa 0,1 Torr bis etwa 100 Torr, und in einigen Ausführungsformen von etwa 0,1 Torr bis etwa 10 Torr. Ein geringer Druck erlaubt, daß die druckbasierende Strömungs-Regeleinrichtung 15 den Druck während eines Reaktionszyklus ausreichend reguliert. Darüber hinaus wird ein derartiger niedriger Dampfdruck typischerweise auch bei einer relativ niedrigen Gas-Precursor-Temperatur erzielt. Insbesondere beträgt die Gas-Precursor-Temperatur während eines Reaktionszyklus im allgemeinen etwa 20 °C bis etwa 150 °C, und in einigen Ausführungsformen etwa 20 °C bis etwa 80 °C. Auf diese Weise kann das System der vorliegenden Erfindung Gase bei geringem Druck und geringer Temperatur verwenden, um die Verfahrenseffizienz zu verbessern. Zum Beispiel veranschaulicht die 6 eine Dampfdruck-Kurve für Hafnium(IV)-t-butoxid, in welcher das Gas einen Dampfdruck von 1 Torr bei 60 °C und 0,3 Torr bei 41 °C besitzt. Somit würde in dieser Ausführungsform eine Temperatur von nur etwa 41 °C erforderlich sein, um einen Dampfdruck von 0,3 Torr zu erzielen. Im Gegensatz dazu erfordern Precursor-Gase, welche häufig in herkömmlichen Atomschicht-Abscheidungs(ALD)-Verfahren verwendet werden, wie Metallhalogenide, im allgemeinen eine viel höhere Temperatur, um einen derartig niedrigen Dampfdruck zu erzielen. Zum Beispiel veranschaulicht die 7 eine Dampfdruckkurve für HfCl4, worin das Gas einen Dampfdruck von 1 Torr bei 172 °C und 0,3 Torr bei 152 °C besitzt. In diesem Fall würde eine Temperatur von mindestens etwa 152 °C erforderlich sein, um den gleichen Dampfdruck zu erreichen, welcher für Hafnium(IV)-t-butoxid bei einer Temperatur von nur etwa 41 °C erzielt wird. Aufgrund der Schwierigkeiten bei der Erzielung eines niedrigen Dampfdrucks unter Verwendung von herkömmlichen ALD-Gas-Precursoren, der typischerweise für die Steuerbarkeit erfordert wird, werden Gas-Precursoren häufig mit einem Trägergas zugeführt und/oder in Verbindung mit einem Bubbler verwendet. Im Gegensatz dazu erfordern die in der vorliegenden Erfindung verwendeten Gas-Precursoren keine derartigen zusätzlichen Merkmale und werden vorzugsweise ohne eine Trägergas- und/oder Bubbler-Typ-Konfiguration in das Reaktorgefäß zugeführt.
  • Nach Zuführen des Gas-Precursors (Schritt "A" von 2) werden die Ventile 16 und 19 geschlossen (falls offen), und die Ventile 20 und 21 werden geöffnet (z.B. gleichzeitig). Somit wird der Gas-Precursor zu einer Bypass-Pumpe umgeleitet, während ein Spülgas aus einer Zuführungsleitung 25 durch die Brausekopf-Sammelkammer 8 bei einer bestimmten Fließgeschwindigkeit "FB" und während einer bestimmten Zeitdauer "TB" (Schritt "B" von 2) in das Reaktorgefäß 1 geleitet wird. Obwohl es nicht notwendig ist, können die Fließgeschwindigkeit "FB" und die Zeitdauer "TB" der Fließgeschwindigkeit "FA" bzw. der Zeitdauer "TA" nahekommen. Während der Einspeisung des Spülgases wird der restliche Gas-Precursor innerhalb der Brausekopf-Sammelkammer 8 schrittweise verdünnt und in das Reaktorgefäß 1 gedrückt (d. h. aus der Brausekopf-Sammelkammer 8 ausgespült). Geeignete Spülgase können, ohne Einschränkung darauf, Stickstoff, Helium, Argon und dergleichen einschließen. Andere geeignete Spülgase werden in U.S.-Patent-Nr. 5,972,430 von DiMeo, Jr. beschrieben, was hierin für alle Zwecke in seiner Gesamtheit durch den Bezug darauf einbezogen ist.
  • Die zur Durchführung des "Ausspülens" des Gas-Precursors erforderliche Zeit hängt im allgemeinen von dem Volumen der Brausekopf-Sammelkammer 8 und dem Rückdruck des Brausekopfs ab. Deshalb werden das Sammelkammervolumen und der Brausekopf-Rückdruck im allgemeinen für die im Zyklus-Schritt verwendeten, spezifischen Fließgeschwindigkeiten angepasst. Typischerweise wird der Brausekopf-Rückdruck durch Anpassen der Anzahl von Brausekopf-Löchern, der Löcherlänge und/oder des Lochdurchmessers eingestellt, bis ein "Rückdruck-Verhältnis" von etwa 1 bis etwa 5, in einigen Ausführungsformen von etwa 2 bis etwa 4, und in einer Ausführungsform von etwa 2 erzielt wird. Das "Rückdruck-Verhältnis" ist als der Sammelkammer-Druck, dividiert durch den Reaktorgefäß-Druck, definiert. Kleinere Verhältnisse können annehmbar sein, wenn die Strömungsgleichmäßigkeit nicht kritisch ist. Höhere Verhältnisse können gleichermaßen ebenfalls annehmbar sein, obwohl die Spülzeit und folglich die Zykluszeit erhöht werden können, wodurch der Durchsatz verringert wird. Beispielsweise veranschaulicht die 5 eine Ausführungsform, in welcher Hafnium(IV)-tert-butoxid bei einer Strömungsgeschwindigkeit von 1 Standard-Kubikzentimeter pro Minute in eine Brausekopf-Sammelkammer zugeführt wurde. In dieser Ausführungsform wurden die Anzahl von Brausekopf-Löchern, die Lochlänge und der Lochdurchmesser gewählt, um einen Kammerdruck (Reaktordruck) von 1,0 Millitorr und einen Brausekopf-Sammelkammer-Druck von 2,4 Millitorr zu erzielen. Folglich betrug das "Rückdruck-Verhältnis" 2,4. Ferner wird, in dieser Ausführungsform, ein Hafnium(IV)-t-butoxid-Dampfdruck von mindestens 300 Millitorr erforderlich sein.
  • Nach Einspeisung des Spülgases in das Reaktorgefäß 1 während der gewünschten Zeitdauer (Schritt "B" von 2) werden die Ventile 21 und 22 ge schlossen, und die Ventile 19 und 23 werden (z. B. gleichzeitig) geöffnet. Diese Aktion leitet das Spülgas zu einer Bypasspumpe um und lenkt ein oxidierendes Gas aus einer Zuführungsleitung 26 durch die Brausekopf-Sammelkammer 8 bei einer bestimmten Fließgeschwindigkeit "FC" und während einer bestimmten Zeitdauer "TC" in das Rektorgefäß 1 um (Schritt "C" von 2). Obwohl nicht immer erforderlich, kann das oxidierende Gas dabei helfen, die gebildeten Schichten) vollständig zu oxidieren und/oder zu verdichten, um die Kohlenwasserstoff-Fehlerstellen, welche in den Schichten) vorhanden sind, zu verringern.
  • Wie oben stehend beschrieben, werden die Brausekopf-Sammelkammer 8 und der Rückdruck im allgemeinen so eingestellt, dass das oxidierende Gas das vorausgehende Gas aus der Sammelkammer in kurzer Zeit ausspült. Um eine derartige Spülung zu bewirken, ist es manchmal wünschenswert, dass die Fließgeschwindigkeit "FC" ähnlich zu den Fließgeschwindigkeiten "FA" und/oder "FB" bleibt. Gleichermaßen kann die Zeitdauer "TC" kann ebenfalls ähnlich zu den Zeitdauern "TA" und/oder "TB" sein. Die Zeitdauer "TC" kann auch eingestellt werden, um die vollständige Oxidation des wachsenden Films zu erzielen, aber minimiert werden, um den besten Durchsatz zu erzielen. Geeignete oxidierende Gase können, ohne Einschränkung darauf, Stickstoffoxid (NO2), Sauerstoff, Ozon, Distickstoffoxid (N2O), Dampf, Kombinationen hiervon und dergleichen einschließen.
  • Während der Zeitdauern "TB" und/oder "TC" können die Wafer 28 auf einer Temperatur gehalten werden, welche gleich oder unterschiedlich zu der Temperatur während der Gasprecursor-Abscheidung ist. Beispielsweise kann die Temperatur, verwendet bei der Anwendung der Spül- und/oder Oxidierungs-Gase, etwa 20 °C bis etwa 500 °C, in einigen Ausführungsformen etwa 100 °C bis etwa 500 °C, und in manchen Ausführungsformen etwa 250 °C bis etwa 450 °C betragen. Ferner, wie obenstehend angegeben, ist der Reaktorgefäßdruck während des Reaktionszyklus relativ niedrig, wie etwa 0,1 bis etwa 100 Millitorr, und etwa 0,1 bis etwa 10 Millitorr.
  • Sobald das oxidierende Gas in das Reaktorgefäß 1 eingespeist worden ist (Schritt "C" von 2), werden die Ventile 23 und 19 geschlossen, und die Ventile 21 und 22 werden geöffnet (z. B. gleichzeitig). Dieser Vorgang leitet das oxidierende Gas zu der Bypasspumpe um und lenkt erneut das Spülgas durch die Brausekopf-Sammelkammer 8 bei einer gewissen Strömungsgeschwindigkeit "FD" und während einer gewissen Zeitdauer "TD", welche typischerweise die gleichen sind, wie obenstehend für den Schritt "B" beschrieben, in den Reaktor.
  • Es sollte bemerkt werden, dass es ebenfalls möglich ist, atomare oder angeregte Zustände der Oxidierungs- und/oder Spülgase durch die Ventile 21 und/oder 23 und zu den Brauseköpfen 61 zuzuführen, mit dem Zweck, die vollständige Oxidation des wachsenden Films zu unterstützen, oder mit dem Zweck, den wachsenden Film mit Atomen zu dotieren. Unter Bezugnahme auf die 10 kann beispielsweise ein entfernter Plasmagenerator 40 zwischen einer Gaskammer 42 und dem Precursorofen 9 eingefügt werden. Der entfernte Plasmagenerator 40 kann auch für die Reinigung des Reaktors von abgeschiedenen Filmen durch Verwendung von Gasen, wie NF3, angewandt werden. Die Gaskammer 42 kann bei die Zuführung derartiger Reinigungsgase, sowie des Gasprecursors, des Spülgases und/oder oxidierender Gase, an den Precursorofen 9 unterstützen.
  • Die vorstehend erwähnten Verfahrensschritte werden kollektiv als ein "Reaktionszyklus" bezeichnet, obwohl einer oder mehrere derartiger Schritte des "Reaktionszyklus" nach Bedarf eliminiert werden können. Ein einzelner Reaktionszyklus scheidet im allgemeinen einen Bruchteil einer Monoschicht des Dünn films ab, aber die Zyklusdicke kann mehrere Monoschichten dick sein, was von Verfahrensbedingungen, wie Wafertemperatur, Verfahrenstemperatur und Gasfließgeschwindigkeiten, abhängig ist.
  • Um eine Zieldicke zu erreichen, können zusätzliche Reaktionszyklen ausgeführt werden. Derartige zusätzliche Reaktionszyklen können bei den gleichen oder anderen Bedingungen, als beim obenstehend beschriebenen Reaktionszyklus, arbeiten. Beispielsweise kann, unter erneuter Bezugnahme auf die 3, ein zweiter Precursor-Vorrat 39 ein zweites Precursorgas (veranschaulicht als "P2") durch eine zweite Zuführungsleitung 27 und unter Anwendung einer druckbasierenden Strömungs-Regeleinrichtung 38 zuführen. In dieser Ausführungsform isoliert ein Ventil 18 den Precursor-Vorrat 39, so dass der Precursorvorrat 39 vor Installation in dem Precursorofen 9 aufgefüllt werden kann. Der Precursor-Vorrat 39 kann auf eine ähnliche Weise zum Precursor-Vorrat 11 installiert werden. Vor der Abscheidung auf ein Substrat kann der Gasprecursor aus dem Vorrat 39 ebenfalls durch die Heizvorrichtungen) 35 erwärmt werden, um einen bestimmten Dampfdruck zu erreichen.
  • Der Reaktionszyklus für den zweiten Precursor kann ähnlich oder unterschiedlich zu dem Reaktionszyklus für den ersten Precursor, wie obenstehend beschrieben, sein. In einer besonderen Ausführungsform können beispielsweise zusätzliche Schritte "E–H" (2) angewandt werden, um ein abwechselndes Laminat aus ersten und zweiten Gasprecursorfilmen in einem einzigen Reaktionszyklus herzustellen. Für jeden Zyklus können die Precursorgase ("E" und "A"), die Spülgase ("B", "D", "F" und "H") und die oxidierenden Gase ("C" und "G") gleich oder verschieden sein. Alternativ dazu kann der erste Gasprecursor-Film auch zu einer spezifischen Dicke (ein oder mehrere Reaktionszyklen) abgeschieden werden, gefolgt von dem zweiten Gasprecursor-Film zu einer anderen spezifischen Dicke (ein oder mehrere Reaktionszyklen), wodurch eine "ge stapelte" Struktur der Filme aufgebaut wird. Zum Beispiel könnte ein Laminat aus HfO2 und SiO2 durch Verwendung von Hafnium(IV)-t-butoxid als dem ersten Gas-Precursor und Silan als dem zweiten Gas-Precursor erzeugt werden, welches nach Aushärten einen Hafnium-Silikat-Film erzeugen kann. Ein anderes Beispiel ist die Bildung eines Laminats aus HfO2 und Al2O3 durch Verwendung von Hafnium(IV)-t-butoxid als dem ersten Gasprecursor und Aluminiumethoxid als dem zweiten Gas-Precursor, welches nach Aushärten einen Hafnium-Aluminat-Film erzeugen kann. Ferner ist ein weiteres Beispiel die Bildung eines Hafnium-Silizium-Stickstoff-Sauerstoff-Films durch Verwenden der passenden mehreren Precursoren und anderer Verfahrensbedingungen.
  • An die Abscheidung von Laminatfilmen, wie obenstehend beschrieben, kann sich anschließend eine geeignete thermische Verarbeitung anschließen, so dass ein "neuer" Film mit unterschiedlichen Eigenschaften zu entweder dem Laminatfilm oder den Laminat-Bestandteilen selbst hergestellt werden kann. Beispielsweise könnte ein "neuer" Hafnium-Silikat-Film durch thermisches Härten eines Laminats aus Hafniumoxid und Siliziumoxid gebildet werden. Ferner könnte ein Laminat von HfO2- und HfON-Filmen gebildet werden unter Verwendung von Hafnium(IV)-t-butoxid und NH3, welches nach Aushärtung einen Hafnium-Oxynitrid-Film erzeugt. Es wird auch angemerkt, dass ein Laminat unter Anwendung eines Systems der vorliegenden Erfindung in Verbindung mit anderen herkömmlichen Techniken, wie ALD, MOCVD oder anderen Techniken, gebildet werden kann.
  • Gemäß der vorliegenden Erfindung können verschiedene Parameter des obenstehend beschriebenen Verfahrens reguliert werden, um einen Film mit bestimmten vorgewählten Merkmalen herzustellen. Zum Beispiel können, wie obenstehend angegeben, der Gas-Precursor, Spül- und/oder Oxidierungsgase, verwendet in den Reaktionszyklen, gewählt werden, um gleich oder verschieden zu sein. Darüber hinaus können, in einer Ausführungsform, die "Abscheidungsbedingungen" (d. h. Bedingungen für die Zeitdauer, während der einem Gas gestattet wird, das Substrat zu kontaktieren) von einem oder mehreren der Reaktionszyklen reguliert werden. In manchen Ausführungsformen kann es beispielsweise erwünscht sein, ein bestimmtes vorgewähltes Druckprofil, Abscheidungs-Zeitdauerprofil und/oder Fließgeschwindigkeitsprofil so anzuwenden, dass ein Reaktionszyklus bei einem Satz von Abscheidungsbedingungen betrieben wird, wohingegen ein anderer Reaktionszyklus unter einem anderen Satz von Abscheidungsbedingungen arbeitet.
  • Als Ergebnis der Regulierung verschiedener Parameter von einem oder mehreren der Reaktionszyklen kann die vorliegende Erfindung eine Vielzahl von Vorteilen erzielen. Im Gegensatz zu herkömmlichen ALD-Techniken kann das System der vorliegenden Erfindung zum Beispiel eine höhere Ausbeute besitzen und Leckströme ausreichend inhibieren. Durch Vorsehen einer Steuerung der Zyklus-Parameter kann der resultierende Film weiterhin leichter so geformt werden, dass er die gewählten Eigenschaften aufweist. Diese Eigenschaften können nach Bedarf durch einfaches Verändern eines der Zyklusparameter, wie der Fließgeschwindigkeit eines zugeführten Gases, unverzüglich angepasst werden. Darüber hinaus können manche Schichten des Films gebildet werden, um ein Merkmal aufzuweisen, während andere Schichten geformt werden können, um ein anderes Merkmal aufzuweisen. Im Gegensatz zu herkömmlichen Abscheidungstechniken stellt das System der vorliegenden Erfindung deshalb eine Kontrolle über die Reaktionszyklus-Parameter bereit, so dass der resultierende Film einfacher so geformt werden kann, dass er spezifische vorherbestimmte Eigenschaften besitzt.
  • Darüber hinaus ist ebenfalls festgestellt worden, dass im Gegensatz zu herkömmlichen traditionellen ALD-Techniken die während eines Reaktionszyklus erhaltene Dicke nicht intrinsisch durch die sterische Hinderung der Oberflächenchemie beschränkt ist. Daher ist der Reaktionszyklus nicht auf einen festgelegten Bruchteil einer Monoschicht des Films, welche während jedes Zyklus abgeschieden wird, beschränkt, sondern kann für eine verbesserte Film-Regulierung verringert oder für eine Durchsatz-Verbesserung erhöht werden. Zum Beispiel kann die Zyklusdicke eines Films durch Regulieren verschiedener Systembedingungen, wie der Wafer-Temperatur, den Gas-Fließgeschwindigkeiten, dem Reaktorgefäßdruck und den Gasströmungs-Zeitdauern, eingestellt werden. Die Einstellung dieser Parameter kann auch die Merkmale des resultierenden Films optimieren. Als ein Beispiel könnte die während jedes Reaktionszyklus abgeschiedene Dicke auf einen Maximalwert erhöht werden, um einen hohen Wafer-Durchsatz zu erzielen, während gleichzeitig annehmbare Filmeigenschaften, wie Stöchiometrie, Fehlerdichte und Verunreinigungs-Konzentration, erzielt werden.
  • Unter Bezugnahme auf die 4 wird beispielsweise die Beziehung zwischen Filmdicke und Wafer-Temperatur für ein zyklisches ALD-Verfahren (Kurve A) und für ein Nicht-ALD-Verfahren (Kurve B) veranschaulicht. Für ein Nicht-ALD-Zyklus-Verfahren, wie es in der vorliegenden Erfindung angewandt wird, beläuft sich die Abscheidungsdicke für eine Wafer-Temperatur von etwa 370 °C auf etwa 1 Angstrom (Å) pro Reaktionszyklus in dieser Veranschaulichung. Wenn die Wafer-Temperatur auf etwa 375 °C erhöht wird, beträgt die Abscheidungsdicke etwa 4 Å je Reaktionszyklus. Für ein ALD-Verfahren (Kurve A) ist dahingegen die Filmdicke relativ unabhängig von der Wafer-Temperatur.
  • Im Gegensatz zu herkömmlichen ALD-Techniken, kann das Verfahren der vorliegenden Erfindung somit angewandt werden, um mehrere Oxid-Monoschichten in einem einzigen Reaktionszyklus zu bilden. Darüber hinaus können die gemäß der vorliegenden Erfindung gebildeten Schichten in Zu wachsschritten, d. h. zwischen der Ablagerung von Gas-Precursoren in verschiedenen Reaktionszyklen, vollständig oxidiert werden. Im Gegensatz zu herkömmlichen ALD-Techniken können des Weiteren, aufgrund der breiten Verfügbarkeit geeigneter MOCVD-Precursoren, leicht Komposit- oder Laminatfilme abgeschieden werden.
  • Weiterhin kann die zyklische Natur des Systems der vorliegenden Erfindung die Entfernung von Verunreinigungen (z. B. Kohlenwasserstoff-Nebenprodukte), welche während eines Reaktionszyklus gebildet werden, praktisch verbessern. Spezifisch ausgedrückt, können die Spül- und Oxidations-Schritte, durch Abscheiden einer nur geringen Filmdicke während jedes Zyklus, Verunreinigungen einfacher entfernen. Herkömmliche MOCVD-Verfahren bringen dahingegen ein kontinuierliches Wachstum der Filme mit sich, was eine Verunreinigungsentfernung schwieriger werden lässt.
  • Diese und andere Modifikationen und Variationen der vorliegenden Erfindung können vom Durchschnittsfachmann auf dem Gebiet ausgeführt werden, ohne vom Sinn und Umfang der vorliegenden Erfindung abzuweichen. Darüber hinaus versteht es sich, dass Aspekte der verschiedenen Ausführungsformen sowohl vollständig als auch teilweise ausgetauscht werden können. Weiterhin wird es der Durchschnittsfachmann auf dem Gebiet richtig einschätzen, dass die vorstehende Beschreibung lediglich beispielhaft erfolgte, und mit ihr nicht beabsichtigt ist, die Erfindung zu beschränken, welche somit weiterhin in den beigefügten Patentansprüchen beschrieben wird.
  • Zusammenfassung
  • Ein Verfahren zum Abscheiden eines Films auf einem Substrat (35) wird vorgesehen. Das Substrat (35) ist innerhalb eines Reaktorgefäßes (1) bei einem Druck von etwa 0,1 Millitorr bis etwa 100 Millitorr enthalten. Das Verfahren umfasst das Unterwerfen des Substrats (35) unter einen Reaktionszyklus, umfassend i) Zuführen eines Gasprecursors bei einer Temperatur von etwa 20°C bis etwa 150°C und einem Dampfdruck von etwa 0,1 Torr bis etwa 100 Torr in das Reaktorgefäß (1), wobei der Gasprecursor mindestens eine organometallische Verbindung umfasst; und ii) Zuführen eines Spülgases, eines oxidierenden Gases oder Kombinationen davon in das Reaktorgefäß (1).

Claims (43)

  1. Verfahren zum Abscheiden eines Films auf ein Substrat, wobei das Substrat innerhalb eines Reaktorgefäßes bei einem Druck von etwa 0,1 Millitorr bis etwa 100 Millitorr enthalten ist, wobei das Verfahren das Unterziehen des Substrats unter einen Reaktionszyklus umfasst, welcher Folgendes umfasst: i) Zuführen eines Gasprecursors bei einer Temperatur von etwa 20 °C bis etwa 150 °C und einem Dampfdruck von etwa 0,1 Torr bis etwa 100 Torr in das Reaktorgefäß, wobei der Gasprecursor mindestens eine organometallische Verbindung umfasst; und ii) Zuführen eines Spülgases, eines oxidierenden Gases oder Kombinationen hiervon in das Reaktorgefäß.
  2. Verfahren, wie definiert in Anspruch 1, worin sich der Druck des Reaktorgefäßes auf etwa 0,1 Millitorr bis etwa 10 Millitorr beläuft.
  3. Verfahren, wie definiert in Anspruch 1, worin das Substrat bei einer Temperatur von etwa 100 °C bis etwa 500 °C vorliegt.
  4. Verfahren, wie definiert in Anspruch 1, worin das Substrat bei einer Temperatur von etwa 250 °C bis etwa 450 °C vorliegt.
  5. Verfahren, wie definiert in Anspruch 1, wobei der Gasprecursor ohne Trägergas oder Bubbler zugeführt wird.
  6. Verfahren, wie definiert in Anspruch 1, wobei der Gasprecursor aus mindestens einer organometallischen Verbindung besteht.
  7. Verfahren, wie definiert in Anspruch 1, ferner umfassend das Regulieren der Strömungsgeschwindigkeit des Gasprecursors.
  8. Verfahren, wie definiert in Anspruch 1, wobei der Gasprecursor-Dampfdruck etwa 0,1 Torr bis etwa 10 Torr beträgt.
  9. Verfahren, wie definiert in Anspruch 1, wobei die Gasprecursor-Temperatur etwa 20 °C bis etwa 80 °C beträgt.
  10. Verfahren, wie definiert in Anspruch 1, wobei das Spülgas aus der Gruppe gewählt wird, bestehend aus Stickstoff, Helium, Argon und Kombinationen hiervon.
  11. Verfahren, wie definiert in Anspruch 1, wobei das oxidierende Gas gewählt wird aus der Gruppe, bestehend aus Stickstoffoxid, Sauerstoff, Ozon, Distickstoffoxid, Dampf und Kombinationen hiervon.
  12. Verfahren, wie definiert in Anspruch 1, wobei der Film ein Metalloxid enthält, wobei das Metall des Metalloxid-Films gewählt wird aus der Gruppe, bestehend aus Aluminium, Tantal, Titan, Zirkonium, Silizium, Hafnium, Yttrium und Kombinationen hiervon.
  13. Verfahren, wie definiert in Anspruch 1, wobei der Film eine größere dielektrische Konstante als etwa 8 besitzt.
  14. Verfahren, wie definiert in Anspruch 1, ferner umfassend das Unterziehen des Substrats an einen oder mehrere zusätzliche Reaktionszyklen, um eine Zieldicke zu erreichen.
  15. Verfahren, wie definiert in Anspruch 14, wobei die Zieldicke geringer als etwa 30 Nanometer ist.
  16. Verfahren zur Abscheidung eines Films auf einen Halbleiter-Wafer, wobei der Wafer innerhalb eines Reaktionsgefäßes bei einem Druck von etwa 0,1 Millitorr bis etwa 100 Millitorr und einer Temperatur von etwa 20°C bis etwa 500°C enthalten ist, wobei das Verfahren das Unterziehen des Wafers an einen Reaktionszyklus umfasst, der Folgendes umfasst: i) Zuführen eines Gasprecursors bei einer Temperatur von etwa 20°C bis etwa 150°C und einem Dampfdruck von etwa 0,1 Torr bis etwa 100 Torr in das Reaktorgefäß, wobei der Gasprecursor mindestens eine organometallische Verbindung umfasst; und ii) Zuführen eines Spülgases in das Reaktorgefäß; und iii) danach, Zuführen eines oxidierenden Gases in das Reaktorgefäß.
  17. Verfahren, wie definiert in Anspruch 16, wobei der Druck des Reaktorgefäßes bei etwa 0,1 Millitorr bis etwa 10 Millitorr liegt.
  18. Verfahren, wie definiert in Anspruch 16, wobei der Wafer bei einer Temperatur von etwa 250 °C bis etwa 450 °C vorliegt.
  19. Verfahren, wie definiert in Anspruch 16, wobei der Gasprecursor ohne Trägergas oder Bubbler zugeführt wird.
  20. Verfahren, wie definiert in Anspruch 16, wobei der Gasprecursor aus der mindestens einen organometallischen Verbindung besteht.
  21. Verfahren, wie definiert in Anspruch 16, weiter umfassend das Regulieren der Strömungsgeschwindigkeit des Gasprecursors.
  22. Verfahren, wie definiert in Anspruch 16, wobei der Gasprecursor-Dampfdruck etwa 0,1 Torr bis etwa 10 Torr beträgt.
  23. Verfahren, wie definiert in Anspruch 16, wobei die Gasprecursortemperatur etwa 20°C bis etwa 80°C beträgt.
  24. Verfahren, wie definiert in Anspruch 16, wobei der Film ein Metalloxid enthält, wobei das Metall des Metalloxidfilms gewählt wird aus der Gruppe, bestehend aus Aluminium, Tantal, Titan, Zirkonium, Silizium, Hafnium, Yttrium und Kombinationen hiervon.
  25. Verfahren, wie definiert in Anspruch 16, wobei das Spülgas gewählt wird aus der Gruppe, bestehend aus Stickstoff, Helium, Argon und Kombinationen hiervon.
  26. Verfahren, wie definiert in Anspruch 16, wobei das oxidierende Gas gewählt wird aus der Gruppe, bestehend aus Stickstoffoxid, Sauerstoff, Ozon, Distickstoffoxid, Dampf und Kombinationen hiervon.
  27. Verfahren, wie definiert in Anspruch 16, ferner umfassend das Unterziehen des Wafers unter einen oder mehrere zusätzliche Reaktionszyklen, um eine Zieldicke zu erreichen.
  28. Verfahren, wie definiert in Anspruch 27, wobei die Zieldicke geringer als etwa 30 Nanometer ist.
  29. Chemisches Niederdruck-Dampfabscheidungssystem zur Abscheidung eines Films auf einem Substrat, wobei das System umfasst: ein Reaktorgefäß, welches einen Substrathalter für das zu beschichtende Substrat einschließt; einen Precursorofen, angepasst, um einen Gasprecursor zu dem Reaktorgefäß bei einer Temperatur von etwa 20°C bis etwa 150°C zuzuführen, wobei der Gasprecursor mindestens eine organometallische Verbindung umfasst; und eine druckbasierende Steuereinrichtung, fähig zur Regulierung der Fließgeschwindigkeit des Gasprecursors, zugeführt aus dem Precursorofen, so dass der Gasprecursor zu dem Reaktorgefäß bei einem Dampfdruck von etwa 0,1 Torr bis etwa 100 Torr zugeführt wird.
  30. System, wie definiert in Anspruch 29, wobei der Precursorofen eine oder mehrere Heizvorrichtungen enthält, welche konfiguriert sind, um den Gasprecursor zu erwärmen.
  31. System, wie definiert in Anspruch 29, ferner umfassend eine Gasverteilungs-Baugruppe, welche den Gasprecursor aus dem Precursorofen aufnimmt und ihn an das Reaktorgefäß zuführt.
  32. System, wie definiert in Anspruch 31, wobei die Gasverteilungs-Baugruppe einen Brausekopf einschließt, wobei der Brausekopf eine Sammelkammer einschließt.
  33. System, wie definiert in Anspruch 32, wobei das System so konfiguriert ist, dass das Verhältnis, definiert durch den Druck an der Brausekopf-Sammelkammer, dividiert durch den Druck des Reaktorgefäßes, während eines Reaktionszykluses etwa 1 bis etwa 5 beträgt.
  34. System, wie definiert in Anspruch 32, wobei das System so konfiguriert ist, dass das Verhältnis, definiert durch den Druck an der Brausekopf-Sammelkammer, dividiert durch den Druck des Reaktorgefäßes, während eines Reaktionszykluses etwa 2 bis etwa 4 beträgt.
  35. System, wie definiert in Anspruch 29, wobei die druckbasierende Regeleinrichtung mit einem oder mehreren Ventilen kommuniziert.
  36. System, wie definiert in Anspruch 35, ferner umfassend einen Reaktordeckel, welcher den Precursorofen von dem Reaktorgefäß trennt.
  37. System, wie definiert in Anspruch 36, wobei ein oder mehrere Ventile fest an den Reaktordeckel gekoppelt sind.
  38. System, wie definiert in Anspruch 29, wobei ein Spülgas, ein oxidierendes Gas oder Kombinationen in der Lage sind, an das Reaktorgefäß zugeführt zu werden.
  39. System, wie definiert in Anspruch 29, ferner umfassend einen entfernten Plasma-Generator in Kommunikation mit dem Reaktorgefäß.
  40. System, wie definiert in Anspruch 29, ferner umfassend eine Energiequelle, fähig zur Erwärmung des Substrats auf eine Temperatur von etwa 100°C bis etwa 500°C.
  41. System, wie definiert in Anspruch 29, ferner umfassend eine Energiequelle, fähig zur Erwärmung des Substrats auf eine Temperatur von etwa 250°C bis etwa 450°C.
  42. System, wie definiert in Anspruch 29, wobei der Gasprecursor fähig ist, in das Reaktorgefäß bei einem Dampfdruck von etwa 0,1 Torr bis etwa 10 Torr zugeführt zu werden.
  43. System, wie definiert in Anspruch 29, wobei das Reaktorgefäß mehrere Substrathalter zum Tragen mehrerer Substrate einschließt.
DE10392519T 2002-04-19 2003-04-14 System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck Withdrawn DE10392519T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US37421802P 2002-04-19 2002-04-19
US60/374,218 2002-04-19
PCT/US2003/011595 WO2003089682A1 (en) 2002-04-19 2003-04-14 System for depositing a film onto a substrate using a low vapor pressure gas precursor

Publications (1)

Publication Number Publication Date
DE10392519T5 true DE10392519T5 (de) 2005-08-04

Family

ID=29251161

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10392519T Withdrawn DE10392519T5 (de) 2002-04-19 2003-04-14 System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck

Country Status (8)

Country Link
US (2) US20040025787A1 (de)
JP (2) JP2005523384A (de)
KR (1) KR101040446B1 (de)
CN (1) CN100439561C (de)
AU (1) AU2003224977A1 (de)
DE (1) DE10392519T5 (de)
TW (1) TW200403354A (de)
WO (1) WO2003089682A1 (de)

Families Citing this family (401)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000031777A1 (en) * 1998-11-20 2000-06-02 Steag Rtp Systems, Inc. Fast heating and cooling apparatus for semiconductor wafers
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6759302B1 (en) * 2002-07-30 2004-07-06 Taiwan Semiconductor Manufacturing Company Method of generating multiple oxides by plasma nitridation on oxide
JP4887481B2 (ja) * 2002-08-20 2012-02-29 独立行政法人産業技術総合研究所 半導体強誘電体記憶デバイス
US7101812B2 (en) 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US6835914B2 (en) 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
JP2004311782A (ja) * 2003-04-08 2004-11-04 Tokyo Electron Ltd 成膜方法及び成膜装置
US6844271B2 (en) * 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
DE10357756B4 (de) * 2003-12-10 2006-03-09 Infineon Technologies Ag Verfahren zur Herstellung von Metall-Oxynitriden durch ALD-Prozesse unter Verwendung von NO und/oder N2O
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
FR2869325B1 (fr) * 2004-04-27 2006-06-16 Commissariat Energie Atomique Procede de depot d'une couche mince sur une couche oxydee d'un substrat
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7202185B1 (en) * 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
KR20060072338A (ko) 2004-12-23 2006-06-28 주식회사 하이닉스반도체 유전체막 형성방법 및 이를 이용한 반도체 소자의캐패시터 형성방법
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7375039B2 (en) * 2005-05-24 2008-05-20 International Business Machines Corporation Local plasma processing
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
JP4833650B2 (ja) * 2005-12-08 2011-12-07 パナソニック株式会社 半導体装置及びその製造方法
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
KR100762238B1 (ko) * 2006-03-21 2007-10-01 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 이의 형성 방법
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US20080178921A1 (en) * 2006-08-23 2008-07-31 Qi Laura Ye Thermoelectric nanowire composites
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
KR100829539B1 (ko) * 2007-04-13 2008-05-16 삼성전자주식회사 박막 제조 방법, 이를 이용한 게이트 구조물 및 커패시터의제조 방법
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
WO2010065163A2 (en) * 2008-06-05 2010-06-10 Soraa, Inc. Highly polarized white light source by combining blue led on semipolar or nonpolar gan with yellow led on semipolar or nonpolar gan
US8847249B2 (en) * 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US20100006873A1 (en) * 2008-06-25 2010-01-14 Soraa, Inc. HIGHLY POLARIZED WHITE LIGHT SOURCE BY COMBINING BLUE LED ON SEMIPOLAR OR NONPOLAR GaN WITH YELLOW LED ON SEMIPOLAR OR NONPOLAR GaN
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8259769B1 (en) 2008-07-14 2012-09-04 Soraa, Inc. Integrated total internal reflectors for high-gain laser diodes with high quality cleaved facets on nonpolar/semipolar GaN substrates
US8805134B1 (en) 2012-02-17 2014-08-12 Soraa Laser Diode, Inc. Methods and apparatus for photonic integration in non-polar and semi-polar oriented wave-guided optical devices
WO2010017148A1 (en) 2008-08-04 2010-02-11 Soraa, Inc. White light devices using non-polar or semipolar gallium containing materials and phosphors
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8242522B1 (en) 2009-05-12 2012-08-14 Soraa, Inc. Optical device structure using non-polar GaN substrates and growth structures for laser applications in 481 nm
US8254425B1 (en) 2009-04-17 2012-08-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8837545B2 (en) 2009-04-13 2014-09-16 Soraa Laser Diode, Inc. Optical device structure using GaN substrates and growth structures for laser applications
DE112010001615T5 (de) 2009-04-13 2012-08-02 Soraa, Inc. Stuktur eines optischen Elements unter Verwendung von GaN-Substraten für Laseranwendungen
US8294179B1 (en) 2009-04-17 2012-10-23 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8416825B1 (en) 2009-04-17 2013-04-09 Soraa, Inc. Optical device structure using GaN substrates and growth structure for laser applications
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
US9829780B2 (en) 2009-05-29 2017-11-28 Soraa Laser Diode, Inc. Laser light source for a vehicle
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US10108079B2 (en) 2009-05-29 2018-10-23 Soraa Laser Diode, Inc. Laser light source for a vehicle
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US8427590B2 (en) 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110056429A1 (en) * 2009-08-21 2011-03-10 Soraa, Inc. Rapid Growth Method and Structures for Gallium and Nitrogen Containing Ultra-Thin Epitaxial Structures for Devices
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
FI20095947A0 (fi) * 2009-09-14 2009-09-14 Beneq Oy Monikerrospinnoite, menetelmä monikerrospinnoitteen valmistamiseksi, ja sen käyttötapoja
US8314429B1 (en) 2009-09-14 2012-11-20 Soraa, Inc. Multi color active regions for white light emitting diode
US8750342B1 (en) 2011-09-09 2014-06-10 Soraa Laser Diode, Inc. Laser diodes with scribe structures
US8355418B2 (en) * 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
WO2011035265A1 (en) 2009-09-18 2011-03-24 Soraa, Inc. Power light emitting diode and method with current density operation
US8933644B2 (en) 2009-09-18 2015-01-13 Soraa, Inc. LED lamps with improved quality of light
US9583678B2 (en) 2009-09-18 2017-02-28 Soraa, Inc. High-performance LED fabrication
US9293644B2 (en) 2009-09-18 2016-03-22 Soraa, Inc. Power light emitting diode and method with uniform current density operation
US10147850B1 (en) 2010-02-03 2018-12-04 Soraa, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US20110182056A1 (en) * 2010-06-23 2011-07-28 Soraa, Inc. Quantum Dot Wavelength Conversion for Optical Devices Using Nonpolar or Semipolar Gallium Containing Materials
US8905588B2 (en) 2010-02-03 2014-12-09 Sorra, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US9927611B2 (en) 2010-03-29 2018-03-27 Soraa Laser Diode, Inc. Wearable laser based display method and system
US8451876B1 (en) 2010-05-17 2013-05-28 Soraa, Inc. Method and system for providing bidirectional light sources with broad spectrum
US8771791B2 (en) * 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8816319B1 (en) 2010-11-05 2014-08-26 Soraa Laser Diode, Inc. Method of strain engineering and related optical device using a gallium and nitrogen containing active region
US8975615B2 (en) 2010-11-09 2015-03-10 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment of contact regions of gallium and nitrogen containing material
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US9318875B1 (en) 2011-01-24 2016-04-19 Soraa Laser Diode, Inc. Color converting element for laser diode
US9595813B2 (en) 2011-01-24 2017-03-14 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a substrate member
US9025635B2 (en) 2011-01-24 2015-05-05 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a support member
US9093820B1 (en) 2011-01-25 2015-07-28 Soraa Laser Diode, Inc. Method and structure for laser devices using optical blocking regions
US9236530B2 (en) 2011-04-01 2016-01-12 Soraa, Inc. Miscut bulk substrates
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9646827B1 (en) 2011-08-23 2017-05-09 Soraa, Inc. Method for smoothing surface of a substrate containing gallium and nitrogen
US8971370B1 (en) 2011-10-13 2015-03-03 Soraa Laser Diode, Inc. Laser devices using a semipolar plane
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130136862A1 (en) * 2011-11-30 2013-05-30 Intermolecular, Inc. Multi-cell mocvd apparatus
JP5761724B2 (ja) * 2012-01-24 2015-08-12 文彦 廣瀬 薄膜形成方法および装置
US9020003B1 (en) 2012-03-14 2015-04-28 Soraa Laser Diode, Inc. Group III-nitride laser diode grown on a semi-polar orientation of gallium and nitrogen containing substrates
US9800016B1 (en) 2012-04-05 2017-10-24 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US10559939B1 (en) 2012-04-05 2020-02-11 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9343871B1 (en) 2012-04-05 2016-05-17 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US8971368B1 (en) 2012-08-16 2015-03-03 Soraa Laser Diode, Inc. Laser devices having a gallium and nitrogen containing semipolar surface orientation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5557896B2 (ja) * 2012-12-21 2014-07-23 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US9166372B1 (en) 2013-06-28 2015-10-20 Soraa Laser Diode, Inc. Gallium nitride containing laser device configured on a patterned substrate
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9362715B2 (en) 2014-02-10 2016-06-07 Soraa Laser Diode, Inc Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US9368939B2 (en) 2013-10-18 2016-06-14 Soraa Laser Diode, Inc. Manufacturable laser diode formed on C-plane gallium and nitrogen material
US9520695B2 (en) 2013-10-18 2016-12-13 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US20150129131A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and pre-clean system
US9209596B1 (en) 2014-02-07 2015-12-08 Soraa Laser Diode, Inc. Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates
US9520697B2 (en) 2014-02-10 2016-12-13 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9564736B1 (en) 2014-06-26 2017-02-07 Soraa Laser Diode, Inc. Epitaxial growth of p-type cladding regions using nitrogen gas for a gallium and nitrogen containing laser diode
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI696724B (zh) 2014-09-10 2020-06-21 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10879673B2 (en) 2015-08-19 2020-12-29 Soraa Laser Diode, Inc. Integrated white light source using a laser diode and a phosphor in a surface mount device package
US11437774B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. High-luminous flux laser-based white light source
US11437775B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. Integrated light source using a laser diode
US10938182B2 (en) 2015-08-19 2021-03-02 Soraa Laser Diode, Inc. Specialized integrated light source using a laser diode
US9787963B2 (en) 2015-10-08 2017-10-10 Soraa Laser Diode, Inc. Laser lighting having selective resolution
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102584113B1 (ko) * 2015-11-10 2023-10-04 도쿄엘렉트론가부시키가이샤 기화기, 성막 장치 및 온도 제어 방법
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP2019007048A (ja) * 2017-06-23 2019-01-17 トヨタ自動車株式会社 成膜装置
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10771155B2 (en) 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10222474B1 (en) 2017-12-13 2019-03-05 Soraa Laser Diode, Inc. Lidar systems including a gallium and nitrogen containing laser light source
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102520541B1 (ko) * 2018-02-14 2023-04-10 엘지디스플레이 주식회사 산화물 박막의 제조 장치와 제조 방법 및 그 산화물 박막을 포함하는 디스플레이 장치
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10551728B1 (en) 2018-04-10 2020-02-04 Soraa Laser Diode, Inc. Structured phosphors for dynamic lighting
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102018318B1 (ko) * 2018-09-11 2019-09-04 주식회사 유진테크 박막 형성 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US10903623B2 (en) 2019-05-14 2021-01-26 Soraa Laser Diode, Inc. Method and structure for manufacturable large area gallium and nitrogen containing substrate
US11228158B2 (en) 2019-05-14 2022-01-18 Kyocera Sld Laser, Inc. Manufacturable laser diodes on a large area gallium and nitrogen containing substrate
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20210069745A1 (en) * 2019-09-10 2021-03-11 Applied Materials, Inc. Vapor delivery methods and apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
WO2021119829A1 (en) * 2019-12-18 2021-06-24 Musselman Kevin P Apparatus and method for thin film deposition
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11618968B2 (en) * 2020-02-07 2023-04-04 Akoustis, Inc. Apparatus including horizontal flow reactor with a central injector column having separate conduits for low-vapor pressure metalorganic precursors and other precursors for formation of piezoelectric layers on wafers
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11881416B2 (en) 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113913755B (zh) * 2021-10-12 2022-11-18 中国科学技术大学 薄膜制备系统

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US31793A (en) * 1861-03-26 evans
US633550A (en) * 1899-02-25 1899-09-19 Lester C Hoffman Game-belt.
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4817557A (en) * 1983-05-23 1989-04-04 Anicon, Inc. Process and apparatus for low pressure chemical vapor deposition of refractory metal
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
JPH0691020B2 (ja) * 1986-02-14 1994-11-14 日本電信電話株式会社 気相成長方法および装置
JP2811004B2 (ja) * 1988-05-23 1998-10-15 日本電信電話株式会社 金属薄膜成長方法および装置
US5006360B1 (en) * 1988-06-27 1992-04-28 Low calorie fat substitute compositions resistant to laxative side effect
JP2935474B2 (ja) * 1989-05-08 1999-08-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 平坦な基板を処理する装置及び方法
DE69006809T2 (de) * 1989-09-12 1994-09-15 Stec Inc Vorrichtung für die Verdampfung und Bereitstellung von Organometallverbindungen.
JPH03104871A (ja) * 1989-09-20 1991-05-01 Nippon Steel Corp 有磁場マイクロ波プラズマcvd法による薄膜作成方法
DE69219467T2 (de) * 1992-09-11 1997-10-23 Ibm Verfahren zum Herstellen dünner Schichten durch Mehrlagen-Abscheidung
JP2870719B2 (ja) * 1993-01-29 1999-03-17 東京エレクトロン株式会社 処理装置
JPH07252660A (ja) * 1994-01-19 1995-10-03 Rikagaku Kenkyusho 薄膜の製造方法およびその製造装置
US5451258A (en) * 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP2639366B2 (ja) * 1994-12-28 1997-08-13 日本電気株式会社 真空処理装置および半導体ウェハーの処理方法
JPH08264459A (ja) * 1995-03-24 1996-10-11 Mitsubishi Electric Corp 化学ビーム堆積方法並びに化学ビーム堆積装置
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JPH0931645A (ja) * 1995-07-21 1997-02-04 Sharp Corp 誘電体薄膜素子の製造方法
US6152803A (en) * 1995-10-20 2000-11-28 Boucher; John N. Substrate dicing method
US5964949A (en) * 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5773078A (en) * 1996-06-24 1998-06-30 General Electric Company Method for depositing zirconium oxide on a substrate
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
GB2325939B (en) * 1997-01-02 2001-12-19 Cvc Products Inc Thermally conductive chuck for vacuum processor
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10247874A (ja) * 1997-03-04 1998-09-14 Kokusai Electric Co Ltd 時分割双方向方式携帯電話中継装置
JP4110593B2 (ja) * 1997-05-19 2008-07-02 ソニー株式会社 信号記録方法及び信号記録装置
US5968279A (en) * 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6337102B1 (en) * 1997-11-17 2002-01-08 The Trustees Of Princeton University Low pressure vapor phase deposition of organic thin films
US6118100A (en) * 1997-11-26 2000-09-12 Mattson Technology, Inc. Susceptor hold-down mechanism
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
WO1999049101A1 (en) * 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
US6022416A (en) * 1998-04-23 2000-02-08 Novellus Systems, Inc. Point-of-use vaporization system and method
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6037235A (en) * 1998-09-14 2000-03-14 Applied Materials, Inc. Hydrogen anneal for curing defects of silicon/nitride interfaces of semiconductor devices
US6365229B1 (en) * 1998-09-30 2002-04-02 Texas Instruments Incorporated Surface treatment material deposition and recapture
US6180926B1 (en) * 1998-10-19 2001-01-30 Applied Materials, Inc. Heat exchanger apparatus for a semiconductor wafer support and method of fabricating same
US6303520B1 (en) * 1998-12-15 2001-10-16 Mattson Technology, Inc. Silicon oxynitride film
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6150209A (en) * 1999-04-23 2000-11-21 Taiwan Semiconductor Manufacturing Company Leakage current reduction of a tantalum oxide layer via a nitrous oxide high density annealing procedure
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6436796B1 (en) * 2000-01-31 2002-08-20 Mattson Technology, Inc. Systems and methods for epitaxial processing of a semiconductor substrate
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
JP4505098B2 (ja) * 2000-03-08 2010-07-14 株式会社アルバック 絶縁膜の成膜方法及びその成膜装置
EP1275139B1 (de) * 2000-04-17 2011-07-27 Mattson Technology Inc. Verfahren zur uv-vorbehandlung von ultradünnem oxynitrid zur herstellung von siliziumnitridschichten
US6177341B1 (en) * 2000-06-15 2001-01-23 Vanguard International Semiconductor Corporation Method for forming interconnections in semiconductor devices
US6572706B1 (en) * 2000-06-19 2003-06-03 Simplus Systems Corporation Integrated precursor delivery system
KR100467366B1 (ko) * 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6303524B1 (en) * 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
JP4427254B2 (ja) * 2001-03-20 2010-03-03 マットソン テクノロジイ インコーポレイテッド 誘電体皮膜を堆積するための方法
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides

Also Published As

Publication number Publication date
US20040025787A1 (en) 2004-02-12
JP2011246818A (ja) 2011-12-08
CN1662674A (zh) 2005-08-31
KR101040446B1 (ko) 2011-06-09
KR20040102092A (ko) 2004-12-03
WO2003089682A1 (en) 2003-10-30
JP2005523384A (ja) 2005-08-04
TW200403354A (en) 2004-03-01
US20100190331A1 (en) 2010-07-29
CN100439561C (zh) 2008-12-03
AU2003224977A1 (en) 2003-11-03

Similar Documents

Publication Publication Date Title
DE10392519T5 (de) System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck
DE10132882B4 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
DE60211940T2 (de) Integration von stickstoff in einen dielektrischen film mit hohem k
DE10049257B4 (de) Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
US6800567B2 (en) Method for forming polyatomic layers
JP4546060B2 (ja) 原子層堆積法および化学気相成長法の均一性および再現性を向上するパッシベーション方法
US6634314B2 (en) Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors
DE60125338T2 (de) Gradierte dünne schichten
US6511718B1 (en) Method and apparatus for fabrication of thin films by chemical vapor deposition
US20040247787A1 (en) Effluent pressure control for use in a processing system
KR100714378B1 (ko) 실리콘과의 금속 산화물 경계면을 포함하는 반도체 구조를제조하기 위한 방법
US5648114A (en) Chemical vapor deposition process for fabricating layered superlattice materials
US6416584B1 (en) Apparatus for forming a film on a substrate
DE112005002160T5 (de) Dünnfilmkondensator und Verfahren zum Bilden desselben sowie computerlesbares Speichermedium
DE102010000002B4 (de) Verfahren zur Abscheidung von Mehrlagenschichten und/oder Gradientenschichten
US20070037412A1 (en) In-situ atomic layer deposition
DE10296448T5 (de) Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
US20130166057A1 (en) Methods for forming small-scale capacitor structures
DE10208450A1 (de) Vorrichtung und Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
DE19534082A1 (de) Verfahren zur Herstellung einer Halbleitereinrichtung
DE112010001364T5 (de) Verfahren zum Ausbilden eines Gatestapels mit hohem k-Wert und reduzierter effektiver Oxiddicke
DE10335099B4 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US20070287248A1 (en) Method for manufacturing capacity element, method for manufacturing semiconductor device and semiconductor-manufacturing apparatus
JPH08176826A (ja) Cvd法による薄膜の堆積装置及び堆積方法並びに該堆積装置又は該堆積方法で用いられるcvd原料及び液体原料容器
JP4719679B2 (ja) 膜製造方法及び膜製造装置

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20121101