TWI627305B - 用於轉盤處理室之具有剛性板的大氣蓋 - Google Patents

用於轉盤處理室之具有剛性板的大氣蓋 Download PDF

Info

Publication number
TWI627305B
TWI627305B TW103108705A TW103108705A TWI627305B TW I627305 B TWI627305 B TW I627305B TW 103108705 A TW103108705 A TW 103108705A TW 103108705 A TW103108705 A TW 103108705A TW I627305 B TWI627305 B TW I627305B
Authority
TW
Taiwan
Prior art keywords
injector device
chamber
processing
side wall
processing chamber
Prior art date
Application number
TW103108705A
Other languages
English (en)
Other versions
TW201439369A (zh
Inventor
尤都史凱約瑟夫
葛瑞芬凱文
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201439369A publication Critical patent/TW201439369A/zh
Application granted granted Critical
Publication of TWI627305B publication Critical patent/TWI627305B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

揭示包括蓋裝置的處理室,該蓋裝置在一注入器裝置之上形成一空間,以減少該注入器裝置由於該注入器裝置的該處理側與該注入器裝置的該大氣側之間的該壓力差所導致的該偏移。

Description

用於轉盤處理室之具有剛性板的大氣蓋
本發明的實施例一般係關於處理室蓋。更具體地,該揭示案的實施例係關於可以防止氣體分配裝置在低壓處理期間變形的處理室蓋。
形成半導體裝置的處理通常在包含多個腔室的基板處理平台中實行。在某些情況中,多腔室處理平台或叢集工具的目的係在受控制的環境中連續在基板上執行兩或更多個處理。但是,在其他情況中,多腔室處理平台可在基板上僅執行單一處理步驟;額外的腔室則用來使該平台處理基板的速率最大化。在後一種情況中,基板上執行的處理通常是批次處理,其中較大量的基板(例如,25或50個)在給定的腔室中同時處理。批次處理特別有利的是針對太耗時而無法以經濟上可行的方式在個別基板上執行的處理,例如ALD處理與某些化學氣相沈積(CVD)處理。
基板處理平台(或系統)的有效性通常由所有權的成本(COO,cost of ownership)來量化。COO受許多因子影響, 最大影響的是系統佔地面積(亦即,在製造工廠中需要用來操作該系統的總佔地空間)與系統生產量(亦即,每小時所處理的基板數量)。佔地面積通常包括系統旁邊需要用來維護的使用區域。因此,雖然基板處理平台可較小,但是如果它需要從所有側部使用來操作與維護,則該系統的有效佔地面積仍會過分地大。
隨著半導體裝置尺寸的縮小,半導體工業對於處理 變化性的容忍度持續減小。為了滿足這些日益嚴峻的處理要求,該工業發展出許多新處理來滿足日益嚴峻的處理窗要求,但是這些處理通常花費較長時間來完成。例如,針對一致地形成銅擴散阻障層於高深寬比的表面上(65nm或更小的互連特徵),可能需要使用ALD處理。ALD是CVD的變化,它比CVD展現更優良的階梯覆蓋。ALD是根據原子層磊晶(ALE,atomic layer epitaxy),原子層磊晶原本是用來製造光電顯示器。ALD使用化學吸附來沉積反應先驅物分子的飽和單層至基板表面上。這藉由週期性地交替合適的反應先驅物脈衝至沉積腔室中而達成。反應先驅物的每次注入通常藉由惰性氣體淨化來分離,以提供對於先前沉積層來說新的原子層,來形成均勻材料層於基板表面上。反應先驅物與惰性淨化氣體的循環重覆,以將材料層形成至所欲厚度。ALD技術的最大缺點是沉積速率遠低於一般的CVD技術,相差至少一個數量級。例如,一些ALD處理會需要大約10分鐘至大約200分鐘的腔室處理時間來沉積高品質層於基板表面上。為了較佳的裝置性能而選擇此種ALD與磊晶處理,用傳統的單一 基板處理室來製造裝置的成本會增加,因為非常低的基板處理生產量。因此,當實施此種處理時,需要連續的基板處理方法來使經濟上為可行。
新世代的ALD處理工具需要晶圓與沉積源(注入 器)之間的間隙的嚴謹控制,以滿足橫越晶圓與晶圓之間的成分與厚度均勻性。該處理可發生於廣範圍的溫度中,且發生於晶圓與沉積源之間的分離範圍中。重要的是監測橫越晶圓區域的距離的均勻性,它相當於直徑1-2公尺的大小。
在低溫處理期間,上注入器裝置具有過大的壓力, 在1大氣下大於大約1.3mm。此偏移太大,導致所沉積膜的不均勻。本領域需要低壓處理室的設備與方法,同時最小化腔室蓋與腔室主體之間的熱差異的影響。
本發明的一或更多個實施例係關於處理室,該處理室包括一腔室主體、一加熱臺裝置、一注入器裝置與一腔室蓋。該腔室主體包括一底壁與一側壁。該加熱臺裝置在該腔室主體中,用以支撐複數個基板並且使該等複數個基板繞著一中心軸旋轉,且該加熱臺裝置具有一頂表面。該注入器裝置位於該加熱臺裝置之上並且具有一後表面與一前表面,該前表面面向該加熱臺裝置的該頂表面並且界定一處理空間。該注入器裝置的一外部周邊邊緣支撐該注入器裝置於該腔室主體的該側壁上。該腔室蓋包括一頂壁與側壁。該側壁可連接至該腔室主體側壁。該注入器裝置的該頂表面以及該腔室蓋頂壁與側壁界定一蓋空間。
在某些實施例中,其中該腔室主體的該側壁具有一 突出部,該注入器裝置的該外部周邊邊緣由該突出部來支撐。在一或更多個實施例中,該注入器裝置進一步包括複數個栓,該等複數個栓在該外部周邊邊緣處並且延伸自該前表面,該等複數個栓定位於該側壁的該突出部上,以支撐該注入器裝置。在某些實施例中,該等栓包括一材料,該材料具有一熱膨脹係數係匹配於該注入器裝置。在一或更多個實施例中,該注入器裝置與該加熱臺裝置之間的一間隙在高達大約550℃以上的溫度維持實質上相同。
在某些實施例中,該處理空間與該蓋空間係流體連 通,使得該處理空間與該蓋空間兩者在處理期間具有大約相同的壓力。一或更多個實施例進一步包括一真空源,該真空源連通於該處理空間,以降低該處理空間中的該壓力。
在某些實施例中,該腔室蓋的該側壁包括一唇部, 該唇部從該側壁向內延伸,以覆蓋該注入器裝置的該後表面的一部分。在一或更多個實施例中,該唇部形成與該注入器裝置的該後表面的一防流體密封。某些實施例進一步包括連通於該處理空間的一真空源以及連通於該蓋空間的一真空源。在一或更多個實施例中,該真空源對於該處理空間與該蓋空間兩者係相同,且該蓋空間中的壓力可由該處理空間分離地控制。
在某些實施例中,該注入器裝置為圓盤狀、具有大 於大約1公尺的一直徑。在一或更多個實施例中,該加熱臺裝置進一步包括複數個凹槽,以支撐複數個基板。在某些實 施例中,該等凹槽的每一者的尺寸經過設計,以支撐一基板,使得該基板的一頂表面與該加熱臺裝置的一頂表面實質上共平面。
在某些實施例中,該腔室蓋的該頂壁在該注入器裝 置的該後表面之上間隔大約5mm至大約0.5公尺的範圍。
在一或更多個實施例中,該注入器裝置包括複數個 氣體埠口,該等複數個氣體埠口從該注入器裝置的一內部周邊區域朝向該注入器裝置的該外部周邊邊緣徑向延伸,每一氣體埠口的寬度在該外部周邊區域處係大於在該內部周邊區域處,且每一氣體埠口具有一開孔在該注入器裝置的該前表面處,以提供複數個氣體流朝向該加熱臺裝置的該頂表面。
在某些實施例中,當該處理空間具有一壓力係在大 約1mTorr至大約30Torr的範圍中時,該注入器裝置係偏移小於大約0.2mm。
本發明的額外實施例係關於處理室,該處理室包括 一腔室主體、一加熱臺裝置、一注入器裝置與一腔室蓋。該腔室主體包括一底壁與一側壁,該側壁包括一突出部。該加熱臺裝置在該腔室主體中,用以支撐複數個基板並且使該等複數個基板繞著一中心軸旋轉。該加熱臺裝置具有一頂表面,該頂表面包括複數個凹槽,以支撐複數個基板。該注入器裝置位於該加熱臺裝置之上並且具有一後表面與一前表面,該前表面面向該加熱臺裝置的該頂表面並且界定一處理空間。該注入器裝置的一外部周邊邊緣支撐該注入器裝置於該腔室主體的該側壁的該突出部上。該注入器裝置包括複數 個徑向設置的氣體埠口,該等複數個氣體埠口具有一開孔在該前表面處,該等氣體埠口的每一者從一內部周邊區域朝向該外部周邊邊緣延伸,且該等氣體埠口的每一者的寬度在該外部邊緣處係大於在該內部區域處。該腔室蓋包括一頂壁與側壁。該側壁可連接至該腔室主體側壁,該注入器裝置的該頂表面以及該腔室蓋頂壁與側壁界定一蓋空間。
在某些實施例中,該注入器裝置進一步包括複數個 栓,該等複數個栓在該外部周邊邊緣處並且延伸自該前表面,該等複數個栓定位於該側壁的該突出部上,以支撐該注入器裝置。在一或更多個實施例中,該等栓包括一材料,該材料具有一熱膨脹係數係匹配於該注入器裝置。
32‧‧‧路徑
60‧‧‧晶圓
61‧‧‧頂表面
100‧‧‧處理室
110‧‧‧腔室主體
112‧‧‧底壁
114‧‧‧側壁
116‧‧‧埠口
118‧‧‧突出部
120‧‧‧注入器裝置(氣體分配裝置)
121‧‧‧前表面
122‧‧‧後表面
123‧‧‧外部周邊區域
124‧‧‧內部周邊區域
127‧‧‧栓
140‧‧‧加熱臺裝置
141‧‧‧頂表面
142‧‧‧凹槽
143‧‧‧底表面
150‧‧‧腔室蓋
151‧‧‧頂壁
152‧‧‧側壁
153‧‧‧唇部
154‧‧‧蓋空間
155‧‧‧埠口
160‧‧‧支撐柱
170‧‧‧處理空間
180‧‧‧氣體櫃
181‧‧‧氣體櫃
因此,藉由參照所附圖式中例示的實施例,可獲得簡短總結於上的本發明的更詳細敘述,可更詳細瞭解且獲得本發明之範例實施例的方式。可瞭解,某些熟知的處理並未在本文中討論,以避免混淆本發明。
第1圖根據本發明的一或更多個實施例,繪示處理室的部分透視圖;第2圖根據本發明的一或更多個實施例,繪示注入器裝置與加熱臺裝置的部分視圖;第3圖根據本發明的一或更多個實施例,繪示注入器裝置的一部分的部分前視圖;第4圖根據本發明的一或更多個實施例,繪示加熱臺裝置的一部分的透視圖;及 第5圖根據本發明的一或更多個實施例,繪示處理室的部分橫剖面透視圖。
為了促進瞭解,已經在任何可能的地方使用相同的元件符號來表示圖式中共同的相同元件。可瞭解到,一實施例的元件與特徵可有利地併入在其他實施例中,而不用另外詳述。但是,注意到,所附圖式只例示本發明之範例實施例且因此不視為限制其範圍,因為本發明可容許其他等效實施例。
本發明的實施例係關於具有上圓頂裝置的處理室,加入上圓頂裝置來減少橫越注入器裝置的壓力。注入器裝置之上的上部可保持在相同的壓力,因為下腔室或壓力可獨立地控制。另外,在某些實施例中,加入多個栓至注入器裝置,以匹配注入器的主體的熱膨脹,來維持注入器裝置與加熱臺之間的間隙。因為注入器往下膨脹,背板與栓往上膨脹相同的大小來維持注入器與加熱臺之間的相同間隙。
第1圖繪示處理室100的實施例的部分透視圖,處理室100包括腔室主體110與腔室蓋150。腔室主體包括底壁112與側壁114。埠口116可在側壁114中並且連接至氣體櫃180,氣體櫃180可以利用各種氣體來泵送或淨化腔室主體。
注入器裝置120(也稱為氣體分配裝置)定位於腔室內。注入器裝置120在加熱臺裝置140之上。注入器裝置120具有前表面121與後表面122,前表面121面向加熱臺裝置140。注入器裝置120的外部周邊區域123支撐整個注入器 裝置120於腔室主體110側壁114的頂部上。注入器裝置120的左側係圖示為具有輪廓的邊緣,而右側係圖示為不具有直的邊緣。可使用任一個邊緣,或其他邊緣輪廓。在某些實施例中,就如同第1圖所示,側壁114包括突出部118。突出部118可藉由壁部中的凹陷區域來形成(見第1圖),或者突出部118可從側壁向內延伸進入腔室內部。
圖式中圖示的處理室100是轉盤式腔室,其中加熱 臺裝置140可以固持複數個晶圓60。如同第2圖所示,注入器裝置120可包括複數個分離的注入器單元121,每一注入器單元121在晶圓移動於注入器單元之下時可以沉積一膜於晶圓上。兩個派形的注入器單元121係圖示成定位於加熱臺裝置140的大致相對側上且在加熱臺裝置140之上。注入器單元121的此數量係僅為了例示的目的而圖示,且提供對於加熱臺裝置140與注入器裝置120的堆疊特性的瞭解。將瞭解到,可包括較多或較少的注入器單元121,且在某些實施例中,注入器裝置120係單一件,而非由複數個派形的單元構成。在某些實施例中,有足夠數量的派形的注入器單元121來形成與加熱臺裝置140的形狀一致的形狀。
參見第3圖,注入器裝置120包括複數個氣體埠口, 複數個氣體埠口從注入器裝置120的內部周邊區域124朝向外部周邊區域123徑向延伸。每一氣體埠口的寬度在外部周邊區域123處係大於在內部周邊區域124處,且每一氣體埠口具有一開孔在注入器裝置120的前表面121處,以提供複數個氣體流朝向加熱臺裝置140的頂表面。
第3圖圖示的注入器裝置120是大圓形(或圓盤形) 注入器裝置120的一部分,或者是個別的派形部分,個別的派形部分可以彼此結合來形成圓盤形注入器裝置120。當在此 說明書與所附申請專利範圍中使用時,用語「派形」係用以 敘述大體上為圓形扇形的主體。例如,派形部分可為圓形或圓盤形物體的四分之一。派形部分的內部邊緣可以變成一尖端、或者可以截成平坦邊緣、或者弄成圓的,就像第3圖圖示的扇形。整個注入器裝置120可以很大,且可以例如在直徑上寬過大約1公尺或在直徑上寬過大約1.5公尺。在某些實施例中,注入器裝置120在直徑上的範圍為大約1公尺至大約2公尺。
仍參見第3圖,在操作上,基板會以弧形路徑32橫越通過此氣體分配裝置120。在通過時,基板的每一點會曝露至真空埠口V、第一反應氣體埠口A、真空埠口V、淨化埠口P、真空埠口V與第二反應氣體埠口B。所示的形態將曝露基板的所有部分至兩個反應氣體,並且維持該等氣體的分離,以防止氣相反應。
每一個別的氣體埠口在靠近氣體分配裝置120的內部周邊區域124處具有較窄的寬度,且在靠近外部周邊區域123處具有較大的寬度。個別的埠口的形狀或深寬比可正比於(或不同於)氣體分配裝置120部分的形狀或深寬比。在某些實施例中,個別的埠口的形狀經過設計,使得遵循路徑32橫越通過氣體分配裝置120的晶圓的每一點會在每一氣體埠口之下具有大約相同的停佇時間。基板的路徑可垂直於氣體 埠口。在某些實施例中,每一氣體分配裝置包括複數個伸長的氣體埠口,該等伸長的氣體埠口延伸於實質上垂直於基板所橫越的路徑之方向中。當在此說明書與所附申請專利範圍中使用時,用語「實質上垂直於」係表示:移動的大體方向係大約垂直於氣體埠口的軸。對於派形氣體埠口而言,氣體埠口的軸可視為是界定為埠口的寬度中點沿著埠口的長度延伸的一條線。
加熱臺裝置140位於腔室主體110內、在氣體分配裝置120之下。第1圖圖示的加熱臺裝置140為圓盤形元件。 第4圖圖示加熱臺裝置140的一部分的方形切出物。參見第1圖與第4圖,加熱臺裝置140包括頂表面141與在頂表面141中的至少一凹槽142、以及底表面143。凹槽142可為任何合適的形狀與尺寸,取決於要處理的晶圓60的形狀與尺寸。在第1圖圖示的實施例中,凹槽142具有平坦底部,以支撐晶圓的底部,但是將瞭解到,凹槽的底部可以改變。在某些實施例中,凹槽在凹槽的外部周邊邊緣周圍具有階梯區域,階梯區域的尺寸經過設計,以支撐晶圓的外部周邊邊緣。由階梯區域支撐之晶圓的外部周邊邊緣的數量可以改變,取決於例如晶圓的厚度與已經存在於晶圓的背側上的存在特徵。
在某些實施例中,加熱臺裝置140的頂表面141中的凹槽142的尺寸經過設計,使得支撐於凹槽142中的晶圓60具有頂表面61係實質上與加熱臺裝置140的頂表面141共平面。當在此說明書與所附申請專利範圍中使用時,用語「實質上共平面」係表示:晶圓的頂表面與加熱臺裝置的頂 表面係共平面在±0.2mm內。在某些實施例中,該等頂表面係共平面在±0.15mm、±0.10mm、±0.05mm內。
第1圖的加熱臺裝置140包括支撐柱160,支撐柱160可以升舉、降低與旋轉加熱臺裝置140。加熱臺裝置可包括加熱器、或氣體接線、或在支撐柱160的中心內的電子元件。支撐柱160可為主要的機構,用來增加或減少加熱臺裝置160與注入器裝置120之間的間隙、移動加熱臺裝置140至適當位置中來設定注入器裝置120與加熱臺裝置140之間的間隙。該間隙也稱為處理空間170。這是晶圓曝露至反應氣體與被處理的區域。
在某些實施例中,間隙距離在大約0.1mm至大約5.0mm的範圍、或在大約0.1mm至大約3.0mm的範圍、或在大約0.1mm至大約2.0mm的範圍、或在大約0.2mm至大約1.8mm的範圍、或在大約0.3mm至大約1.7mm的範圍、或在大約0.4mm至大約1.6mm的範圍、或在大約0.5mm至大約1.5mm的範圍、或在大約0.6mm至大約1.4mm的範圍、或在大約0.7mm至大約1.3mm的範圍、或在大約0.8mm至大約1.2mm的範圍、或在大約0.9mm至大約1.1mm的範圍、或大約1mm。
轉盤(例如,加熱臺裝置140)的旋轉可連續或不連續。在連續的處理中,晶圓不斷地旋轉,使得晶圓輪流曝露至每一氣體埠口(也稱為注入器)。在不連續的處理中,晶圓可重覆地移動與停止。例如,轉盤可以旋轉,使得晶圓從注入器前面的區域移動到注入器之後的區域。注入器之間 的停止可提供時間給每一層沉積之間的額外處理步驟(例如,曝露至電漿)。
返回參見第1圖,處理室100包括腔室蓋150。腔室蓋包括頂壁151與側壁152,側壁152可連接至腔室主體側壁114。當腔室蓋150在腔室主體上時,腔室蓋頂壁151、腔室蓋側壁152與注入器裝置120的後表面122界定蓋空間154。蓋空間154的尺寸可以改變,取決於腔室蓋壁部的高度以及腔室蓋與注入器裝置的直徑。在某些實施例中,蓋空間的尺寸係最小化,以避免腔室內具有太多可能需要淨化的空間。
腔室蓋150可包括連接至氣體櫃181的埠口155,氣體櫃181可用於淨化或泵送蓋空間154。為了避免注入器裝置在處理狀況之下時的偏移,使用氣體櫃181來降低蓋空間154中的壓力會是所欲的。氣體櫃180與氣體櫃181可為相同的櫃,具有至腔室部件的不同連接。蓋空間154中的壓力不需要相同於處理空間170中的壓力,但是蓋空間154中的壓力可以降低,以減輕會在注入器裝置之上的區域與注入器裝置之下的區域之間形成的壓力差。在某些實施例中,腔室蓋150的頂壁151在注入器裝置120的後表面122之上間隔大約5mm至大約0.5公尺的範圍。
參見第5圖,注入器裝置120的某些實施例包括複數個栓127,複數個栓127可作用為支腳。栓127定位於注入器裝置120的外部周邊區域123處並且延伸自前表面121。注入器裝置可定位於腔室中,以放置於這些栓上,且該等栓可 定位於側壁114的突出部118上。栓127可由任何合適的材料製成,導電的或絕緣的。在某些實施例中,栓127包括一材料,該材料具有一熱膨脹係數係匹配於注入器裝置120。在這些實施例中,當注入器裝置120往下膨脹時,背板(注入器裝置的背側)與栓127往上膨脹相同的大小來維持注入器裝置120與加熱臺裝置140之間的相同間隙。在某些實施例中,注入器裝置與加熱臺裝置之間的間隙在高達大約550℃以上的溫度維持實質上相同。
可從第5圖看出,當注入器裝置放置於栓127上時,注入器裝置120的邊緣的周圍可以有間隙。這可以允許處理空間與蓋空間之間的流體連通,使得單一泵送系統會同時間降低兩空間中的壓力。
蓋150也可包括唇部153(如同第1圖所示),唇部153從側壁152向內延伸。蓋150可覆蓋注入器裝置120的後表面122的一部分。在某些實施例中,唇部153形成與注入器裝置120的後表面的防流體密封,使得處理空間與蓋空間維持彼此隔離。
雖然前述是關於本發明之實施例,本發明之其他與進一步實施例可被設想出而無偏離其基本範圍,且其範圍是由下面的申請專利範圍來決定。

Claims (20)

  1. 一種處理室,包括:一腔室主體,該腔室主體包括一底壁與一側壁;一加熱臺裝置,該加熱臺裝置在該腔室主體中,用以支撐複數個基板並且使該等複數個基板繞著一中心軸旋轉,該加熱臺裝置具有一頂表面;一注入器裝置,該注入器裝置位於該加熱臺裝置之上並且具有一後表面與一前表面,該前表面面向該加熱臺裝置的該頂表面並且界定一處理空間,該注入器裝置的一外部周邊邊緣支撐該注入器裝置於該腔室主體的該側壁上;及一腔室蓋,該腔室蓋包括一頂壁與側壁,該側壁可連接至該腔室主體側壁,該注入器裝置的該後表面以及該腔室蓋頂壁與側壁界定一蓋空間。
  2. 如請求項1所述之處理室,其中該腔室主體的該側壁具有一突出部,該注入器裝置的該外部周邊邊緣由該突出部來支撐。
  3. 如請求項2所述之處理室,其中該注入器裝置進一步包括複數個栓,該等複數個栓在該外部周邊邊緣處並且延伸自該前表面,該等複數個栓定位於該側壁的該突出部上,以支撐該注入器裝置。
  4. 如請求項3所述之處理室,其中該等栓包括一材料,該材料具有一熱膨脹係數係匹配於該注入器裝置。
  5. 如請求項4所述之處理室,其中該注入器裝置與該加熱臺裝置之間的一間隙在高達大約550℃以上的溫度維持實質上相同。
  6. 如請求項3所述之處理室,其中該處理空間與該蓋空間係流體連通,使得該處理空間與該蓋空間兩者在處理期間具有大約相同的壓力。
  7. 如請求項6所述之處理室,進一步包括一真空源,該真空源連通於該處理空間,以降低該處理空間中的該壓力。
  8. 如請求項3所述之處理室,其中該腔室蓋的該側壁包括一唇部,該唇部從該側壁向內延伸,以覆蓋該注入器裝置的該後表面的一部分。
  9. 如請求項8所述之處理室,其中該唇部形成與該注入器裝置的該後表面的一防流體密封。
  10. 如請求項8所述之處理室,進一步包括連通於該處理空間的一真空源以及連通於該蓋空間的一真空源。
  11. 如請求項10所述之處理室,其中該真空源對於該處理空間與該蓋空間兩者係相同,且該蓋空間中的壓力可由該處理空間分離地控制。
  12. 如請求項1所述之處理室,其中該注入器裝置為圓盤狀、具有大於大約1公尺的一直徑。
  13. 如請求項1所述之處理室,其中該加熱臺裝置進一步包括複數個凹槽,以支撐複數個基板。
  14. 如請求項13所述之處理室,其中該等凹槽的每一者的尺寸經過設計,以支撐一基板,使得該基板的一頂表面與該加熱臺裝置的一頂表面實質上共平面。
  15. 如請求項1所述之處理室,其中該腔室蓋的該頂壁在該注入器裝置的該後表面之上間隔大約5mm至大約0.5公尺的範圍。
  16. 如請求項1所述之處理室,其中該注入器裝置包括複數個氣體埠口,該等複數個氣體埠口從該注入器裝置的一內部周邊區域朝向該注入器裝置的該外部周邊邊緣徑向延伸,每一氣體埠口的寬度在該外部周邊區域處係大於在該內部周邊區域處,且每一氣體埠口具有一開孔在該注入器裝置的該前表面處,以提供複數個氣體流朝向該加熱臺裝置的該頂表面。
  17. 如請求項1所述之處理室,其中當該處理空間具有一壓力係在大約1mTorr至大約30Torr的範圍中時,該注入器裝置係偏移小於大約0.2mm。
  18. 一種處理室,包括:一腔室主體,該腔室主體包括一底壁與一側壁,該側壁包括一突出部;一加熱臺裝置,該加熱臺裝置在該腔室主體中,用以支撐複數個基板並且使該等複數個基板繞著一中心軸旋轉,該加熱臺裝置具有一頂表面,該頂表面包括複數個凹槽,以支撐複數個基板;一注入器裝置,該注入器裝置位於該加熱臺裝置之上並且具有一後表面與一前表面,該前表面面向該加熱臺裝置的該頂表面並且界定一處理空間,該注入器裝置的一外部周邊邊緣支撐該注入器裝置於該腔室主體的該側壁的該突出部上,該注入器裝置包括複數個徑向設置的氣體埠口,該等複數個氣體埠口具有一開孔在該前表面處,該等氣體埠口的每一者從一內部周邊區域朝向該外部周邊邊緣延伸,且該等氣體埠口的每一者的寬度在該外部周邊邊緣處係大於在該內部周邊區域處;一腔室蓋,該腔室蓋包括一頂壁與側壁,該側壁可連接至該腔室主體側壁,該注入器裝置的該後表面以及該腔室蓋頂壁與側壁界定一蓋空間。
  19. 如請求項18所述之處理室,其中該注入器裝置進一步包括複數個栓,該等複數個栓在該外部周邊邊緣處並且延伸自該前表面,該等複數個栓定位於該側壁的該突出部上,以支撐該注入器裝置。
  20. 如請求項19所述之處理室,其中該等栓包括一材料,該材料具有一熱膨脹係數係匹配於該注入器裝置。
TW103108705A 2013-03-15 2014-03-12 用於轉盤處理室之具有剛性板的大氣蓋 TWI627305B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361798127P 2013-03-15 2013-03-15
US61/798,127 2013-03-15

Publications (2)

Publication Number Publication Date
TW201439369A TW201439369A (zh) 2014-10-16
TWI627305B true TWI627305B (zh) 2018-06-21

Family

ID=51581182

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103108705A TWI627305B (zh) 2013-03-15 2014-03-12 用於轉盤處理室之具有剛性板的大氣蓋

Country Status (5)

Country Link
US (1) US10508340B2 (zh)
KR (1) KR102223829B1 (zh)
CN (1) CN105051860A (zh)
TW (1) TWI627305B (zh)
WO (1) WO2014152311A1 (zh)

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014207289A1 (en) * 2013-06-27 2014-12-31 Picosun Oy Forming a substrate web track in an atomic layer deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102481410B1 (ko) * 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10787739B2 (en) * 2018-10-29 2020-09-29 Applied Materials, Inc. Spatial wafer processing with improved temperature uniformity
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101665921A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、基板处理装置及成膜方法

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384938A (en) * 1982-05-03 1983-05-24 International Business Machines Corporation Reactive ion etching chamber
US4600464A (en) * 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6700089B1 (en) * 1999-03-30 2004-03-02 Tokyo Electron Limited Plasma processing device, its maintenance method, and its installation method
JP4298876B2 (ja) 1999-11-30 2009-07-22 東京エレクトロン株式会社 プラズマ処理装置
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
KR100676979B1 (ko) * 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
DE10392519T5 (de) * 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6827789B2 (en) * 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US20060054280A1 (en) * 2004-02-23 2006-03-16 Jang Geun-Ha Apparatus of manufacturing display substrate and showerhead assembly equipped therein
DE102004020768A1 (de) * 2004-04-16 2005-11-17 Centrotherm Photovoltaics Gmbh + Co. Kg Plasmareaktor mit hoher Produktivität
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
KR101016921B1 (ko) * 2004-08-20 2011-02-28 주성엔지니어링(주) 샤워헤드
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR100589703B1 (ko) * 2004-09-21 2006-06-19 (주)아이씨디 플라즈마 처리장치
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US7238623B2 (en) * 2004-10-06 2007-07-03 Texas Instruments Incorporated Versatile system for self-aligning deposition equipment
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US8956459B2 (en) * 2005-02-23 2015-02-17 Kyocera Corporation Joined assembly, wafer holding assembly, attaching structure thereof and method for processing wafer
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US8173228B2 (en) * 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US20080016684A1 (en) * 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080226842A1 (en) * 2006-09-29 2008-09-18 Tokyo Electron Limited Lazy Susan Tool Layout for Light-Activated ALD
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US20090101069A1 (en) * 2007-10-12 2009-04-23 Suhail Anwar Rf return plates for backing plate support
KR100892249B1 (ko) * 2007-11-21 2009-04-09 주식회사 디엠에스 플라즈마 반응장치
JP5188849B2 (ja) * 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 プラズマ処理装置
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
KR20090102257A (ko) 2008-03-25 2009-09-30 (주)타이닉스 유도결합형 플라즈마 에칭장치
KR20100010659A (ko) * 2008-07-23 2010-02-02 주성엔지니어링(주) 기판처리장치
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
WO2010051233A2 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
JP5062143B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 成膜装置
US8225640B2 (en) * 2008-12-11 2012-07-24 Delphi Technologies, Inc. Soot sensor and method for sensing soot
JP5160393B2 (ja) * 2008-12-16 2013-03-13 東京エレクトロン株式会社 プラズマ処理方法,プラズマ処理装置,プラズマ処理装置の水分量検出方法
KR101190750B1 (ko) * 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
WO2010132716A2 (en) * 2009-05-13 2010-11-18 Applied Materials, Inc. Anodized showerhead
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
US20110146577A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Showerhead with insulated corner regions
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP6104157B2 (ja) * 2010-05-21 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大面積電極にぴったりと嵌合されたセラミックス絶縁体
KR101693673B1 (ko) * 2010-06-23 2017-01-09 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
JP5625624B2 (ja) * 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP5723130B2 (ja) * 2010-09-28 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
USD654884S1 (en) * 2010-10-21 2012-02-28 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD655261S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD654882S1 (en) * 2010-10-21 2012-02-28 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD655260S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD655259S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD655257S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD654883S1 (en) * 2010-10-21 2012-02-28 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US20120225207A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US20130004681A1 (en) * 2011-06-30 2013-01-03 Applied Materials, Inc. Mini blocker plate with standoff spacers
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
US9982346B2 (en) * 2011-08-31 2018-05-29 Alta Devices, Inc. Movable liner assembly for a deposition zone in a CVD reactor
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5712879B2 (ja) * 2011-09-22 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5884500B2 (ja) * 2012-01-18 2016-03-15 東京エレクトロン株式会社 成膜装置
JP5803706B2 (ja) * 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
TW201437423A (zh) * 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101665921A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、基板处理装置及成膜方法

Also Published As

Publication number Publication date
US20160024655A1 (en) 2016-01-28
TW201439369A (zh) 2014-10-16
WO2014152311A1 (en) 2014-09-25
US10508340B2 (en) 2019-12-17
KR20150131200A (ko) 2015-11-24
CN105051860A (zh) 2015-11-11
KR102223829B1 (ko) 2021-03-04

Similar Documents

Publication Publication Date Title
TWI627305B (zh) 用於轉盤處理室之具有剛性板的大氣蓋
US20210032754A1 (en) Showerhead assembly and components thereof
US9252024B2 (en) Deposition chambers with UV treatment and methods of use
TWI645065B (zh) 用於批次處理的傾斜板及其使用方法
TWI696724B (zh) 空間原子層沈積中的氣體分離控制
TWI715572B (zh) 用於沉積低k及低濕式蝕刻率介電薄膜的方法
TWI723024B (zh) 用於改良的氣體分配的遞迴注入設備
TWI821314B (zh) 用於改良式泵吹洗及前驅物輸送之氣體分配組件
TW201827640A (zh) 時間性原子層沉積處理腔室
TWI723997B (zh) 用於批次處理之注射器及使用方法
TW201943899A (zh) 用於磊晶腔室的襯墊
KR101573689B1 (ko) 원자층 증착장치
KR101610644B1 (ko) 다수 챔버 적층 구조 원자층 증착장치
KR20030002776A (ko) 박막 증착 장비
US9053928B2 (en) Wafer and film coating method of using the same
KR101573687B1 (ko) 원자층 증착장치
US20150376790A1 (en) Apparatus And Methods For Differential Pressure Chucking Of Substrates
KR101462015B1 (ko) 가스 공급부재 및 기판 처리장치
KR20160093386A (ko) 반도체 제조용 증착장치
KR101462016B1 (ko) 다단 기판 처리장치
KR101219381B1 (ko) 박막 증착방법
KR100820347B1 (ko) 가스 분사 장치 및 이를 구비하는 기판 처리 장치
KR20190014645A (ko) 박막증착장치
KR20130098529A (ko) 배치식 원자층 증착장치 및 이를 포함하는 클러스터형 원자층 증착장치
KR20130051739A (ko) 배기 통로를 형성한 히터 모듈