JP5045000B2 - 成膜装置、ガス供給装置、成膜方法及び記憶媒体 - Google Patents

成膜装置、ガス供給装置、成膜方法及び記憶媒体 Download PDF

Info

Publication number
JP5045000B2
JP5045000B2 JP2006170585A JP2006170585A JP5045000B2 JP 5045000 B2 JP5045000 B2 JP 5045000B2 JP 2006170585 A JP2006170585 A JP 2006170585A JP 2006170585 A JP2006170585 A JP 2006170585A JP 5045000 B2 JP5045000 B2 JP 5045000B2
Authority
JP
Japan
Prior art keywords
gas
shower head
cooling
film forming
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006170585A
Other languages
English (en)
Other versions
JP2008001923A (ja
JP2008001923A5 (ja
Inventor
崇 掛川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006170585A priority Critical patent/JP5045000B2/ja
Priority to KR1020087030964A priority patent/KR101089977B1/ko
Priority to CN2007800020357A priority patent/CN101365823B/zh
Priority to PCT/JP2007/062328 priority patent/WO2007148692A1/ja
Priority to TW096122094A priority patent/TWI427679B/zh
Publication of JP2008001923A publication Critical patent/JP2008001923A/ja
Priority to US12/340,058 priority patent/US8133323B2/en
Publication of JP2008001923A5 publication Critical patent/JP2008001923A5/ja
Application granted granted Critical
Publication of JP5045000B2 publication Critical patent/JP5045000B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は、化学的蒸着(CVD)によって基板に対して所定の薄膜を形成する成膜装置、ガス供給装置、成膜方法及びその方法を実施するコンピュータプログラムを含んだ記憶媒体に関する。
半導体製造工程においては、被処理体である半導体ウエハ(以下ウエハと称する)に形成された配線間のホールを埋め込むために、あるいはバリア層として、Ti、Al、Cu等の金属や、WSi、TiN、TiSi等の金属化合物を堆積させて薄膜を形成している。これら金属や金属化合物の薄膜の成膜手法の一つとしてCVD法があり、この方法は物理的蒸着(PVD)に比べて埋め込み性が良好である利点がある。
CVD成膜装置は、チャンバー内に設けられたヒータを内蔵したウエハのステージと、前記ステージの上方に対向する、処理ガス吐出用のシャワーヘッドとを備え、チャンバー内の処理空間を所定の真空度にするとともに、ステージ上のウエハを所定の温度に加熱しつつ、シャワーヘッドから処理ガスをチャンバー内に連続的に供給することで、ウエハ表面で化学反応を生じさせ、その反応物をウエハ表面に堆積させて成膜を行う。
ところで例えば処理ガスとしてTiCl4とNH3とを用いてウエハ上にTiN膜を形成する時に、処理ガスから生じた低次のTiClxのウエハへ付着を避けるために、シャワーヘッドにおける処理空間に接する部位の温度コントロールが必要となる場合がある。このためシャワーヘッド側にもヒータを設けている。
一方、良好な膜質の薄膜を密着性及びステップカバレージ良く形成するために、CVDの一つの手法であるSFD(シーケンシャルフローデポジション)とよばれる手法を用いて成膜処理を行う場合がある。このSFDは、成膜原料を含んだ処理ガスをチャンバー内の処理空間に断続的に供給するサイクルを繰り返し行うことでウエハに分子層を積層させて所望の厚さの薄膜を形成する手法である。
このSFDにより成膜を行う場合、短時間で処理ガスにエネルギーを与えて化学反応を起こさせるため、従来のCVDを行う場合に比べて通常はステージに設けられたヒータの温度が高温に設定される。しかしそのようにステージのヒータの温度を高くすると、成膜処理中において処理空間に接するシャワーヘッド表面の温度が、そのヒータから輻射される熱を受けて上昇して、当該シャワーヘッド表面にも処理ガスによる膜が形成されやすくなる。
そのように膜がシャワーヘッドに付くと、その膜が熱を吸収してシャワーヘッドが昇温し、その昇温により更にシャワーヘッドに膜が付きやすくなり、当該シャワーヘッドが更に昇温するため、ヒータによる温度コントロールができなくなり、その結果として成膜処理に必要な温度制御が行われないおそれがある。またシャワーヘッドがニッケルの場合、ニッケル化合物が生成されてパーティクルの要因になる。
ここで特許文献1にはシャワーヘッドの上方に加熱手段が設けられ、その加熱手段の上方に冷却手段が設けられた成膜装置について記載されている。しかしSFDのように、処理空間側からの熱によりシャワーヘッドが昇温し、その昇温を抑えるように温度制御する場合には、冷却手段の冷却作用が直接シャワーヘッドに働かずにヒータを介して行われるため、応答性が悪く、処理空間に面するシャワーヘッド表面の温度を精度よく制御できない。
特開2002−327274(段落0038、図1)
本発明はこのような問題を解決するためになされたものであって、その目的は、処理空間に面するシャワーヘッドの表面の温度を設定温度に精度よく制御することができる成膜装置、ガス供給装置、成膜方法及びその方法を実施するためのコンピュータプログラムを含んだ記憶媒体を提供することにある。
本発明の成膜装置は、基板に成膜処理を施す処理空間を形成するチャンバーと、このチャンバー内に設けられ、基板を載置するステージと、前記ステージに載置された基板を加熱する基板用加熱手段と、前記ステージに対向して設けられた、多数のガス吐出孔を有するシャワーヘッドと、このシャワーヘッドを介してチャンバー内に処理ガスを供給するガス供給機構と、前記シャワーヘッドの上方に設けられた、シャワーヘッドを冷却する冷却手段と、この冷却手段の上方に設けられ、当該冷却手段を介してシャワーヘッドを加熱するシャワーへッド用加熱手段と、を備えていることを特徴とする。
なお本発明の成膜装置により処理される基板としては、半導体ウエハやLCD基板、ガラス基板、セラミックス基板などが挙げられる。
また本発明のガス供給装置は、チャンバー内のステージに載置された基板に処理ガスを供給するためのガス供給装置において、前記ステージに対向して設けられるシャワーヘッドと、前記シャワーヘッドの上方に設けられた、シャワーヘッドを冷却する冷却手段と、この冷却手段の上方に設けられ、当該冷却手段を介してシャワーヘッドを加熱するシャワーへッド用加熱手段と、を備えたことを特徴とする。
本発明の成膜装置及びガス供給装置において、冷却手段は、例えば冷却用フィンとこの冷却用フィンに冷却用ガスを供給する冷却用ガス供給路と、を備えており、この場合例えば各冷却用フィンは起立し、横方向に並行状に伸びるように配列され、冷却用ガス供給路は冷却用フィン間の横長の隙間の一端側から他端側に向けて冷却用ガスを通流させるために当該一端側にガス吹き出し口が開口している。
また冷却手段及びシャワーへッド用加熱手段は、排気口を備えた筐体の中に収納されていてもよく、シャワーヘッドは、ガス吐出孔に連通するガス拡散室を備え、このガス拡散室には、シャワーヘッドの上面部と下面部との間の熱伝導のための多数の柱部が島状に配置されていてもよい。また、例えばシャワーヘッドの下面に対応する温度を検出するための温度検出部と、この温度検出部の温度検出値に基づいてシャワーへッド用加熱手段を制御する制御部と、を備えていてもよい。
また冷却手段も温度検出値に基づいて温度制御してもよい。
なお成膜装置における成膜処理は、例えば第1の処理ガスと第2の処理ガスとを同時あるいは別々に多数回処理空間に供給することにより薄膜の成分層を基板に重ねて積層することで成膜を行うものであり、この場合例えば第1の処理ガスはチタン化合物であり、第2の処理ガスはアンモニアガスである。
本発明の成膜方法は、基板に成膜処理を施す処理空間を形成するチャンバーと、このチャンバー内に設けられ、基板を載置するステージと、前記ステージに載置された基板を加熱する基板用加熱手段と、前記ステージに対向して設けられた多数のガス吐出孔を有するシャワーヘッドと、を備えた成膜装置を用いて基板に成膜を行う方法について、基板をステージに載置する工程と、基板を基板用加熱手段により加熱する工程と、ガス供給機構によりシャワーヘッドを介して処理空間に処理ガスを供給する工程と、シャワーヘッドの上方に設けられた冷却手段によりシャワーヘッドを冷却する工程と、前記冷却手段の上方に設けられた、シャワーヘッド用加熱手段により当該冷却手段を介してシャワーヘッドを加熱する工程と、を含むことを特徴とする。
さらに本発明の記憶媒体は、基板に成膜処理を施す処理空間を形成するチャンバーと、このチャンバー内に設けられ、基板を載置するステージと、前記ステージに載置された基板を加熱する基板用加熱手段と、前記ステージに対向して設けられた多数のガス吐出孔を有するシャワーヘッドと、を備えた成膜装置を用いて基板に成膜を行う方法を実施するコンピュータプログラムを備えた記憶媒体において、既述の成膜方法を実施するためのステップ群を含んだコンピュータプログラムを備えたことを特徴とする。
本発明は、シャワーヘッドの上方に冷却手段を設け、更にその上にシャワーへッド用加熱手段を設けている。このため処理空間側からの熱によってシャワーヘッドが昇温しすぎる場合にはシャワーヘッドの直ぐ上に設けられた冷却手段の冷却作用により昇温が抑えられ、そして冷却手段の上方の加熱手段を補助的に活用して冷却作用が調整されるので処理空間に面するシャワーヘッド表面の温度が設定温度より上昇することが抑えられ、しかも設定温度に精度よくコントロールできる。従って基板間で均一性の高い成膜処理を行うことができる。
図1は本発明の一実施形態に係るSFDにより基板であるウエハWにTiN薄膜を形成する成膜装置を示す概略断面図である。この成膜装置1は、気密に構成された略円筒状のチャンバー2を有しており、チャンバー2の底部中央には下方に突出したステージ保持部材21がシールリングを介して取り付けられている。図中Sはチャンバーに囲まれる処理空間である。チャンバー2、ステージ保持部材21は図示しない加熱機構を有し、これらは図示しない電源から給電されることにより所定の温度に加熱される。
チャンバー2の側壁には、ウエハWの搬入出を行うための搬入出口22と、この搬入出口22を開閉するゲートバルブ23とが設けられている。
ステージ保持部材21は、円筒状に構成されており、その側壁には排気管24が接続されている。この排気管24には排気手段25が接続されており、この排気手段25が後述の制御部100からの制御信号を受けて作動することによりチャンバー2内が所定の真空度まで減圧される。
チャンバー2の中には基板であるウエハWを水平に載置するための載置台であるステージ3が設けられており、ステージ3は円筒形状の支持部材31により支持されている。支持部材31の下端は図示しないシールリングを介してステージ保持部材21に取り付けられている。
前記ステージ3内にはウエハ用ヒータ32が埋め込まれており、このウエハ用ヒータ32は図示しない電源から給電されることによりウエハWを所定の温度に加熱する。ステージ3には、ウエハWを支持して昇降させるための3本(2本のみ図示)のウエハ支持ピン33がステージ3の表面に対して突没可能に設けられ、これらウエハ支持ピン33は支持板34に固定されている。そして、ウエハ支持ピン33は、例えばモータを含んだ駆動機構35により支持板34を介して昇降される。
チャンバー2の上部には支持部材2aが設けられており、この支持部材2aを介してステージ3に対向するようにシャワーヘッド4が設けられている。図2はシャワーヘッド4の縦断面図であり、この図を参照しながらシャワーヘッド4の構成について説明する。シャワーヘッド4は、ベース部41、スペーサ部51及びシャワープレート42により構成されており、ベース部41の中央下面部にはスペーサ部51が設けられ、またスペーサ部51の下面側にはシャワープレート42が設けられている。図中40は、スペーサ部51及びシャワープレート42をベース部41に固着するためのねじであり、図中40aはねじ穴である。
ベース部41は偏平な円形状に形成されており、その下端の外側にはフランジ部が設けられ、このフランジ部が前記支持部材2aに支持される。ベース部41の内部には互いに区画された第1のガス流路41a及び第2のガス流路41bが夫々形成されている。またベース部41の上側部にはその上側部の温度を検出する検出部であるセンサ4Aが設けられており、この温度検出センサ4Aは、検出した温度に対応する電気信号を後述する制御部100に送信する。なおこの温度検出センサ4Aにより検出される温度はシャワーヘッド4において処理空間Sに面する表面の温度に対応する。
図3、図4はスペーサ部51の上面側、下面側の構造を夫々示したものである。スペーサ部51は、円板部52とその円板部52の周縁において上下に夫々突き出した突縁部53、54とを有しており、突縁部53の上面は前記ベース部41に、突縁部54の下面はシャワープレート42に夫々密着する。突縁部53、円板部52及びベース部41に囲まれる空間は第1のガス拡散室52aとして構成されており、また突縁部54、円板部52及びシャワープレート42に囲まれる空間は第2のガス拡散室52bとして構成されている。
第1のガス拡散室52aはベース部41の第1のガス流路41aと連通している。また図3、図4では図示を省略しているが、図2に示すように円板部52の厚さ方向に沿って設けられた、区画された中間路50を介してベース部41の第2のガス供給路41bと第2のガス拡散室52bとが連通している。
図3に示すように円板部52の上面側には例えば互いに間隔をおいて上方に向かう多数の柱部であるボス55が、島状に設けられており、これら各ボス55の上面(先端面)はベース部41の下面に接する。冷却部材6によりベース部41が冷却された際に各ボス55はスペーサ部51に効率良くベース部41の冷気を伝え、当該スペーサ部51の表面及びこのスペーサ部51に接続されるシャワープレート42の表面の温度を高精度に制御する役割を有する。例えばこのスペーサ部51の直径は340mmであり、このスペーサ部51においてベース部41に接する面積の合計は例えば385cm2程度である。この合計面積はスペーサ部51のベース部41への投影領域の面積の約42%である。
図4に示すように円板部52の下面には間隔をおいて、その下面全体に亘り、多数の突起(ボス)56が設けられており、これら各ボス56先端面はシャワープレート42の上面に接する。また各ボス56及び円板部52を厚さ方向に貫くようにガス導入孔57aが穿設されており、この第1のガス導入孔57aは、前記第1のガス拡散室52aと連通している。なお図3では図示の便宜上ガス導入孔57aは数個しか描かれていないが実際は図4のボス56に対応するように多数設けられている。また図3、図4は円板部の上面、下面を夫々模式的に示したものにすぎず、ボス55及びボス56の大きさ、各ボス間の間隔、各ボス55,56の個数は夫々適宜変更し得るものである。
シャワープレート42は円板状に形成された部材であり、厚さ方向に穿設された多数のガス吐出孔を有し、前記ガス吐出孔は、例えばシャワープレート42全体に亘ってマトリクス状に配列されている。これらのガス吐出孔は、第1のガス拡散室52aに連通する第1のガス吐出孔42a及び第2のガス拡散室52bに連通する第2のガス吐出孔42bにより構成されており、第1及び第2のガス吐出孔42a、42bは夫々交互に配列されている。
第1のガス流路41aに供給されたガスは、第1のガス拡散室52a及びガス導入孔57aを介してガス吐出口42aからシャワー状に処理空間Sに吐出され、ステージ3上のウエハWに供給される。また第2のガス流路41bに供給されたガスは、中間路50、第2のガス拡散室52bを介してガス吐出口42bからシャワー状に処理空間Sに吐出され、ステージ3上のウエハWに供給される。これら第1及び第2のガス流路41a、41bに供給された各ガスはシャワーヘッド4内では互いに混合されない。
図5はベース部41の上部の構成を示した斜視図であり、この図に示すようにベース部41上には例えばアルミニウムにより構成される冷却部材6が設けられている。図6は冷却部材6の上面図であり、冷却部材6は円板状の基部61と、その基部61の上面に起立する多数の冷却用フィン62とによって構成されており、各冷却用フィン62は基部61の接線方向に沿って夫々並行するように伸長している。基部61の中央は、後述するガス供給ブロック81の接続領域となっており、当該中央には角形の孔61aが基部61の厚さ方向に設けられている。この孔61aを介して後述のガス供給ブロック81がシャワーヘッド4に接続されている。
この図6に示すように基部61上には当該基部61の一端から中心に向かうように冷却ガス導入管63が配設されており、この冷却ガス導入管63は、基部61上をその直径に沿って伸長する冷却ガス吐出管64の中央部に接続されている。また基部61上には前記ガス供給ブロック81の接続領域を囲うように側管65が設けられ、側管65の両端は冷却ガス吐出管64に接続されている。冷却ガス吐出管64及び側管65には各冷却用フィン62間の横長の隙間に、冷却用フィン62の伸長方向に沿ってガスを吐出するためのガス吹き出し口である冷却ガス吐出孔66が各々間隔をおいて設けられており、また冷却ガス導入管63には冷却ガスとして例えばドライ・エアが貯留された冷却ガス供給源67が接続されている。図中V1はバルブであり制御部100の電気信号を受けて冷却ガス供給源67から冷却ガス導入管63への冷却ガスの給断を制御する。
制御部100の電気信号を受けてバルブV1が開かれると、図7に示すように所定の流量の冷却ガスが、冷却ガス供給源67から冷却ガス導入管63を介して冷却ガス吐出管64及び側管65に流入し、冷却ガス吐出孔66から吐出される。吐出された冷却ガスは、図中矢印で示すように冷却用フィン62に沿って冷却部材6の周縁部へと向かい、冷却用フィン62及び基部61の表面がこの冷却ガスの気流に曝されて冷却される。このように冷却部材6が冷却されると、隣接するシャワーヘッド4が冷却される。なお冷却部材6、冷却ガス導入管63、冷却ガス吐出管64、側管65及び冷却ガス供給源67は特許請求の範囲でいう冷却手段を構成し、また冷却ガス導入管63、冷却ガス吐出管64及び側管65は冷却用ガス供給路を構成する。
また図8に示すように冷却用フィン62の上部にはアルミニウムからなる板状部材70を介してシャワーへッド用加熱手段である円板状のシャワーへッド用ヒータ71が設けられており、このヒータ71は、板状部材70及び冷却部材6を介してシャワーヘッド4を加熱する。シャワーへッド用ヒータ71は、発熱抵抗体72を上下から絶縁材であるゴムシート73で挟んだ構成を有する。図中74は例えばアルミニウムからなる板状部材である。このヒータ71としては金属板に発熱抵抗体が埋め込まれたようなものを用いてもよいが、装置の軽量化を図る観点から上述のような構成にすることが好ましい。
シャワーヘッド4の温度検出センサ4Aから電気信号を受けた制御部100は、その温度検出センサ4Aが例えば予め設定された温度となるようにシャワーへッド用ヒータ71に電気信号を送信して、そのヒータ71の出力を調整し、ヒータ71は冷却部材6を介してシャワーヘッド4を加熱する。
ヒータ71から発する熱と既述の冷却部材6の冷気とによって、シャワーヘッド4の処理空間Sに面する表面が、その表面においてTiN膜の成膜が抑えられ、かつ処理空間SにおいてウエハWに良好な成膜処理が行える温度に制御される。なお成膜処理中において前記シャワーヘッド4表面へのTiN膜の形成を防ぐためにその表面の温度は、185℃以下に制御されることが好ましい。
ベース部41の上部中央にはガス供給ブロック81が設けられている。図1に示すようにガス供給ブロック81には第1のガス供給管81a、第2のガス供給管81bが設けられている。第1のガス供給管81aの一端は第1のガス流路41aに接続されており、その他端は分岐して第1の処理ガスであるNH3ガス及びキャリアガスであるN2(窒素)ガスが夫々貯留されたガス供給源82,83に接続されている。
また第2のガス供給管81bの一端は第2のガス流路41bに接続されており、その他端は分岐して第2の処理ガスであるTiCl4ガス、キャリアガスであるN2ガス及びクリーニングガスであるClF3ガスが夫々貯留されたガス供給源84,85,86に接続されている。また各ガス供給管81a,81bにはバルブ及びマスフローコントローラなどにより構成されるガス供給機器群87が介設されており、このガス供給機器群87は後述の制御部100からの制御信号を受信して各処理ガスの給断を制御する。なお各ガス供給源82〜86、各ガス供給管81a,81b、ガス供給機器群87は特許請求の範囲でいうガス供給機構を構成する。
図9は成膜装置1の上面を示した斜視図であり、この図に示すようにチャンバー2上には板部材2bを介して、冷却部材6及びヒータ71を収納するように筺体であるカバー27が設けられている。図1中27aはこのカバー27に囲まれる排気空間であり、カバー27の上部にはこの排気空間27aに向けて開口した排気口28aが設けられている。排気口28aには排気管29の一端が接続されており、排気管29の他端は排気手段29aに接続されている。
吐出孔66から吐出された冷却ガスは、冷却部材6を冷却した後、排気手段29aによって排気空間27aを介して排気管29に流入して除去される。
この成膜装置1には例えばコンピュータからなる制御部100が設けられている。制御部100はプログラム、メモリ、CPUからなるデータ処理部などを備えており、前記プログラムには制御部100が成膜装置1の各部に制御信号を送り、後述の作用を実施することでウエハWに対してTiN膜が成膜できるように命令が組まれている。また、例えばメモリには処理圧力、処理時間、ガス流量、電力値などの処理パラメータの値が書き込まれる領域を備えており、CPUがプログラムの各命令を実行する際これらの処理パラメータが読み出され、そのパラメータ値に応じた制御信号がこの成膜装置1の各部に送られることになる。
このプログラム(処理パラメータの入力用画面に関連するプログラムも含む)は、例えばフレキシブルディスク、コンパクトディスク、MO(光磁気ディスク)などにより構成される記憶媒体である記憶部101に格納されて制御部100にインストールされる。
次いで上述の成膜装置1の作用としてウエハW表面にTiN膜を成膜する成膜方法について図10を参照しながら説明する。先ず排気手段25によりチャンバー2内を真空引きし、ガス供給源83から不活性ガスであるN2ガスが所定の流量でチャンバー2内に供給される。またこのときヒータ32によりステージ3が所定の温度例えば600℃〜700℃程度まで加熱されると共にチャンバー2の不図示のヒータが加熱され、チャンバー2内が所定の温度に維持される。
ヒータ32及びチャンバー2の前記不図示のヒータの昇温後、冷却ガス供給源67から冷却ガスが、冷却ガス吐出管64に例えば流量150L/minで供給され、その冷却ガスがガス吐出孔66から吐出されて冷却部材6が冷却され(ステップS1)、例えば冷却ガスの供給と略同時に、排気手段29aが作動して排気空間27aが排気される。また冷却ガスが供給されると、ガスシャワーヘッド用ヒータ71が昇温して、冷却部材6を介してシャワーヘッド4に熱が伝わり、例えば温度検出センサ4Aにより検出される温度が、例えば165℃に維持されるようにシャワーヘッド4の温度が制御される(ステップS2)。
その後、ゲートバルブ23を開き、図示しない搬送アームによりウエハWがチャンバー2内に搬入される。そして当該搬送アームとウエハ支持ピン33との協働作業によりウエハWをステージ3の上面に載置し、ゲートバルブ23を閉にする(ステップS3)。
図11は、ウエハWにTiN膜の薄膜を形成する工程におけるガスの給断及び圧力の制御を時系列に沿って示したものである。ステージ3に載置されたウエハWが所定の温度で加熱され(ステップS4)、チャンバー2内の温度が所定の温度に維持されると共にチャンバー2内の圧力が例えば260Paに維持されると、図示するように時刻t1にて両処理ガスの供給がONになり、先ず時刻t1からt2に至るまで例えばTiCl4ガスとNH3ガスとが夫々所定の流量でチャンバー2内に供給され、これらTiCl4ガスとNH3ガスとが下記の(1)式のように反応してウエハWの表面にTiN膜が成膜される(ステップS5)。
6TiCl4+8NH3→6TiN+24HCl+N2 …(1)
続いて時刻t2にてTiCl4ガス及びNH3ガスの供給を停止し、チャンバー2内に残留した未反応のガスや反応副生成物を除去する。この際には、例えばN2ガスを供給してもよい。その後TiCl4ガスの供給を停止したまま、NH3ガスの供給を所定の流量で所定時間行い(詳しくはNH3ガスに加えてそのキャリアガスとしてN2ガスも供給される)、ウエハW上に成膜したTiNの中に含まれる残留塩素をNH3ガスにより還元し、この還元反応によって生じた塩化物がチャンバー2内から除去される。
そしてNH3ガスの供給を停止し、当該チャンバー2内の残留NH3ガスが排気される。このとき例えばN2ガスを供給してもよい。以上で時刻t3に至った時点で1サイクルが終了する。
この後、時刻t1から当該時刻t3に至るまでに行ったのと同様のステップ群が繰り返され、それ以後このステップ群が繰り返されて例えば時刻t1〜t3までのステップが10サイクル以上、好ましくは30サイクル以上、所望のTiN膜を得られるまで繰り返される。このサイクル数については1サイクルで形成される薄膜の膜厚によって適宜調整される。
ウエハW表面へのTiN膜の形成完了後、TiCl4及びNH3の両処理ガスの供給を停止し、所定の時間チャンバー2内のパージを行い、しかる後NH3ガスをキャリアガスであるN2ガスと共にチャンバ2内に供給して、ウエハW上のTiN膜表面の窒化処理を行う。こうして所定枚数のウエハWに対して同様の工程で繰り返し成膜処理が行われる。
所定枚数のウエハWへの成膜処理後、チャンバー2内に付着した不要な成膜物を除去するため、当該チャンバー2内にClF3ガスを供給してクリーニングが行われる。
上述の成膜装置1によれば、シャワーヘッド4の上方に冷却ガスにより冷却される冷却部材6を設け、更にその上にシャワーへッド用ヒータ71を設けている。このため処理空間S側からのウエハ用ヒータ32により輻射された熱やチャンバー2の図示しない加熱手段から輻射された熱によってシャワーヘッド4の温度が昇温しすぎる場合にはシャワーヘッド4の直ぐ上に設けられた冷却部材6の冷却作用により昇温が抑えられ、そして冷却手段6の上方のシャワーヘッド用ヒータ71を補助的に活用して冷却作用が調整されるので処理空間Sに面するシャワーヘッド表面の温度が設定温度より上昇することが抑えられ、しかも設定温度に精度よくコントロールできる。従って、ウエハW間で均一性の高いTiN膜の成膜処理を行うことができる。
またシャワーヘッド4に設けられた処理ガスの第1の拡散室52aを構成するスペーサ部51の上面には、多数のボス55が設けられ、処理ガスの第2の拡散室を構成するスペーサ部51の下面には多数のボス56が設けられ、ボス55はベース部41に、ボス56はシャワープレート42に夫々接することにより、ベース部41とスペーサ部51及びシャワープレート42との間で熱伝導が効率良く行われるため、スペーサ部51及びシャワープレート42表面の温度をより高い精度で設定温度に制御することができる。
なお冷却手段として上述の成膜装置のように冷却部材6及びガス吐出管64などを設ける代わりに、例えば内部に通気室を設けたブロックをシャワーヘッド4とヒータ71との間に設置し、当該通気室に冷却ガスを流通させるようなものであってもよく、またペルチェ素子により構成された冷却部材を用いてもよい。
また例えば冷却液が通流する流路が表面に設けられたプレートを冷却手段としてシャワーヘッド4上に設けてもよいが、既述の実施形態のように冷却ガスによる冷却手段を設けた方が冷却液が通流する配管の引き回しが不要になり、成膜装置1を構成する部品のレイアウトの自由度が高くなると共に装置の大型化を抑えることができるため好ましい。また上述の実施形態において、各冷却用フィン62は起立して設けられ、各フィン62間の隙間に冷却ガスが通流される構成であるため、冷却ガスに曝される冷却部材6の表面積を大きくとることができる一方で冷却部材6の床面積を抑えることができる。従って装置の大型化をより抑えることができる。
なお上述の成膜工程では処理ガスをパルス的にチャンバー2内に導入するプロセスを繰り返し行い、膜を段階的に積層させているが、処理ガスを連続的に供給するCVD成膜処理を行ってもよい。またTiCl4ガス及びNH3ガスを同時にチャンバー2内に供給するのではなく夫々交互に供給することにより処理空間Sの雰囲気をTiCl4ガスによる雰囲気と、NH3ガスによる雰囲気とに交互に多数回、切り替えて、ウエハW上にTi原子層(あるいは分子層)の形成と窒化とを交互に形成することでTiN膜を形成してもよい。
例えばシャワーヘッド4に高周波を印加し、チャンバー2内にプラズマを発生させ、そのプラズマのエネルギーとウエハ用ヒータ32の熱エネルギーとを利用してウエハWに成膜を行ってもよい。その他に上記実施の形態ではTiN膜の成膜処理を例にとって説明したが、これに限らず成膜装置1は、Ti膜など他の膜のCVD成膜処理に適用することもできる。
また上述の成膜装置1のシャワーヘッド4と、その上方の冷却部材6及びその冷却部材6に冷却ガスを供給する各配管と、ヒータ71とからなるガス供給装置を構成してもよい。
なお成膜装置1を含む本発明の成膜装置及びガス供給装置は、SFDのように何も温度コントロールをしなかったらシャワーヘッド4が設定温度よりも高くなってしまうプロセスを行う場合に有効である。
続いて本発明の効果を確認するために以下の実施例及び比較例を行った。以下の実施例においては既述の成膜装置1を用いて、比較例においては図12に示した成膜装置9を用いてウエハWに対して処理を行った。成膜装置9は成膜装置1と略同様に構成されており、図中、成膜装置1と同様の構成を有する各部については成膜装置1に対して用いた符号と同一の符号を用いている。なおこの成膜装置9に設けられる制御部の図示は省略しているが、この成膜装置9の制御部は制御部100と同様にウエハWにTiN膜が成膜されるように当該成膜装置9の各部を制御する。
成膜装置9においてはシャワーヘッド4の上方には冷却部材6及びカバー29などが設けられておらず、その代わりにヒータ91がシャワーヘッド4上に積層されている。またヒータ91上には断熱材92が積層されている。
またこの成膜装置9のシャワーヘッド4はスペーサ部51の代わりに、従来のシャワーヘッドに用いられるスペーサ部93を備えており、図13はこのスペーサ部93の上面の構成を示した図である。この図に示すように円板部52の上面にはボス55が設けられておらず、その代わりに円板部52の直径方向に沿って、互いに直交する2本のリブ94が設けられている。リブ94の上面はベース部41の下面に密着する。またスペーサ部93の直径は成膜装置1のスペーサ部51の直径と同じ340mmである。スペーサ部93においてベース部41に接する面積の合計は276cm2であり、これはスペーサ部93のベース部41への投影領域の面積の約30%に相当し、スペーサ部51がベース部41に接する面積よりも小さい。
(実施例1−1)
成膜装置1を用いて上述の実施の形態に示した手順に従ってウエハWに対してTiN膜の成膜を行った。成膜処理中のヒータ71の温度は165℃に設定し、またガス供給源67から冷却部材6に供給される冷却ガスの流量は150L/minに設定した。またシャワーヘッド4の表面に熱電対からなる温度検出センサ(TC)を取り付け、そしてステージ3のウエハ用ヒータ32の温度を変更して、前記TCにより検出されるシャワーヘッド4の温度を調べた。
(比較例1−1)
実施例1−1と同様の手順でステージ3のウエハ用ヒータ32の設定温度を変更して、実施例1−1と同様に、シャワーヘッド4の表面に取り付けたTCにより検出される当該シャワーヘッド4の温度を調べた。ただしシャワーヘッド4上のヒータ91の設定温度は170℃とした。
図14(a)は実施例1−1及び比較例1−1の結果を示したグラフである。このグラフに示すようにステージ3のヒータ32の温度が高く設定されると、実施例1−1に比べて比較例1−1は急激にシャワーヘッド4表面のTCにより検出される温度が高くなっている。従ってこのグラフから実施例1−1における処理空間Sに面するシャワーヘッド4の表面温度は、比較例1−1におけるシャワーヘッド4の表面温度に比べて抑えられているといえる。
また実施例1−1のグラフの傾きは、比較例1−1のグラフの傾きに比べて小さいことから、実施例1−1では比較例1−1に比べてシャワーヘッド4の温度上昇が抑えられているといえる。
(実施例1−2)
予め処理空間Sに面するシャワーヘッド4表面にTiN膜を形成(プリコート)してから既述の実施形態と同様にウエハWの処理を行った他は実施例1−1と同じく、ステージ3のヒータ32の設定温度を変更して、シャワーヘッド4表面のTCにより検出される温度を調べた。
(比較例1−2)
実施例1−2と同様に予め処理空間Sに面するシャワーヘッド4表面にTiN膜をプリコートした他は比較例1−1と同様にステージ3のヒータ32の設定温度を変更して、シャワーヘッド4表面のTCにより検出される温度を調べた。
図14(b)は実施例1−2及び比較例1−2の結果を示したグラフである。このグラフに示すように比較例1−2に比べて実施例1−2で前記TCにより検出されるシャワーヘッド4の温度は低く、従って実施例1−2のシャワーヘッド4の表面温度は比較例1−2のシャワーヘッド4の表面温度に比べて抑えられていることが分かる。
また図14(b)のグラフの600℃〜700℃付近の温度範囲において実施例1−2のグラフの傾きは比較例1−2のグラフの傾きよりも小さく、従ってこの範囲において実施例1−2では比較例1−2に比べてシャワーヘッド4の温度上昇が抑えられているといえる。
(実施例2)
実施例2では既述の成膜装置1を用いて実施の形態に示した手順に従って500枚のウエハWに順次TiN膜を成膜し、この成膜時における温度検出センサ4Aが示すシャワーヘッド4の温度の変化と、シャワーヘッド4上のヒータ71の出力とをモニタした。なお制御部100のプログラムには成膜処理中にセンサ4Aの温度が165℃に維持されるようにヒータ71の温度が調整されるように設定されている。
(比較例2)
比較例2では既述の成膜装置9を用いて実施の形態に示した手順に従って500枚のウエハWに順次TiN膜を成膜し、この成膜時における温度検出センサ4Aの示す値の変化と、シャワーヘッド4上のヒータ92の出力とをモニタした。なおこの成膜装置9の制御部のプログラムには成膜処理中にセンサ4Aの温度が170℃に維持されるようにヒータ92の温度が調整されるように設定されている。
図15(a)は実施例2及び比較例2のモニタされた温度を示しており、実施例2では設定通りの温度165℃で推移しているのに対し、比較例2では処理開始直後に設定された温度170℃を超え、その後時間が経過するにつれて温度が上昇している。
図15(b)は実施例2のヒータ71及び比較例2のヒータ92の出力を示しており、このグラフの横軸に示す時間は、図15(a)のグラフの横軸に示す時間に対応している。このグラフに示すように実施例のヒータ71の出力は処理開始直後に90%程度に上昇した後50%に下降し、その50%周辺で安定しているが、比較例2においては開始直後から出力が低下し、略0%になっている。
従って図15(a),(b)のグラフから実施例2においては冷却部材6及びヒータ71によりシャワーヘッド4を安定して温度制御することができるため、シャワーヘッド4の処理空間Sに向かう表面の温度を制御でき、その表面においてTiN膜の成膜を抑えることができることが示された。一方、比較例2においてはヒータ71の出力がゼロになってもシャワーヘッド4の温度は上昇し続けており、そのシャワーヘッド4の温度が制御できなくなっていることが分かる。
本発明の一実施形態に係る成膜装置を示す断面図である。 前記成膜装置のシャワーヘッドを示す拡大図である。 前記シャワーヘッドを構成するスペーサ部の上面の斜視図である。 前記スペーサ部の下面の斜視図である。 前記シャワーへッドの上方の各部の構成を示す斜視図である。 前記シャワーヘッドの上方の冷却部材の上面図である。 冷却部材に冷却ガスが供給される様子を示した説明図である。 シャワーヘッドの上方のヒータの断面図である。 成膜装置の上面の構成を示した斜視図である。 ウエハへの成膜工程を示すフロー図である。 成膜処理における各処理ガスの供給のオン、オフを示す説明図である。 比較例で用いる成膜装置を示す断面図である。 前記成膜装置のシャワーヘッドを構成するスペーサ部の上面図である。 本発明の成膜装置及び従来の成膜装置の成膜処理時におけるシャワーヘッドの温度を示したグラフである。 本発明の成膜装置及び従来の成膜装置のシャワーヘッドの温度及びシャワーヘッドのヒータの出力の変化を示したグラフである。
符号の説明
W 半導体ウエハ
1 成膜装置
2 チャンバー
3 ステージ
32 ウエハ用ヒータ
4 シャワーヘッド
6 冷却部材
71 シャワーへッド用ヒータ

Claims (23)

  1. 基板に成膜処理を施す処理空間を形成するチャンバーと、
    このチャンバー内に設けられ、基板を載置するステージと、
    前記ステージに載置された基板を加熱する基板用加熱手段と、
    前記ステージに対向して設けられた、多数のガス吐出孔を有するシャワーヘッドと、
    このシャワーヘッドを介してチャンバー内に処理ガスを供給するガス供給機構と、
    前記シャワーヘッドの上方に設けられた、シャワーヘッドを冷却する冷却手段と、
    この冷却手段の上方に設けられ、当該冷却手段を介してシャワーヘッドを加熱するシャワーへッド用加熱手段と、
    を備えていることを特徴とする成膜装置。
  2. 冷却手段は、冷却用フィンと、
    この冷却用フィンに冷却用ガスを供給する冷却用ガス供給路と、を備えていることを特徴とする請求項1記載の成膜装置。
  3. 各冷却用フィンは起立し、横方向に並行状に伸びるように配列され、冷却用ガス供給路は冷却用フィン間の横長の隙間の一端側から他端側に向けて冷却用ガスを通流させるために当該一端側にガス吹き出し口が開口していることを特徴とする請求項2記載の成膜装置。
  4. 冷却手段及びシャワーへッド用加熱手段は、排気口を備えた筐体の中に収納されていることを特徴とする請求項1ないし3のいずれか一に記載の成膜装置。
  5. シャワーヘッドは、ガス吐出孔に連通するガス拡散室を備え、このガス拡散室には、シャワーヘッドの上面部と下面部との間の熱伝導のための多数の柱部が島状に配置されていることを特徴とする請求項1ないし4のいずれか一に記載の成膜装置。
  6. シャワーヘッドの下面に対応する温度を検出するための温度検出部と、この温度検出部の温度検出値に基づいてシャワーへッド用加熱手段を制御する制御部と、を備えたことを特徴とする請求項1ないし5のいずれか一に記載の成膜装置。
  7. 成膜処理は、第1の処理ガスと第2の処理ガスとを同時あるいは別々に多数回処理空間に供給することにより薄膜の成分層を基板に重ねて積層することで成膜することを特徴とする請求項1ないし6のいずれか一に記載の成膜装置。
  8. 第1の処理ガスはチタン化合物であり、第2の処理ガスはアンモニアガスであることを特徴とする請求項7記載の成膜装置。
  9. チャンバー内のステージに載置された基板に処理ガスを供給するためのガス供給装置において、
    前記ステージに対向して設けられるシャワーヘッドと、
    前記シャワーヘッドの上方に設けられた、シャワーヘッドを冷却する冷却手段と、
    この冷却手段の上方に設けられ、当該冷却手段を介してシャワーヘッドを加熱するシャワーへッド用加熱手段と、
    を備えたことを特徴とするガス供給装置。
  10. 冷却手段は、冷却用フィンと、
    この冷却用フィンに冷却用ガスを供給する冷却用ガス供給路と、を備えていることを特徴とする請求項9に記載のガス供給装置。
  11. 各冷却用フィンは起立し、横方向に並行状に伸びるように配列され、冷却用ガス供給路は冷却用フィン間の横長の隙間の一端側から他端側に向けて冷却用ガスを通流させるために当該一端側にガス吹き出し口が開口していることを特徴とする請求項10記載のガス供給装置。
  12. 冷却手段及びシャワーへッド用加熱手段は、排気口を備えた筐体の中に収納されていることを特徴とする請求項9ないし11のいずれか一に記載のガス供給装置。
  13. シャワーヘッドは、ガス吐出孔に連通するガス拡散室を備え、このガス拡散室には、シャワーヘッドの上面部と下面部との間の熱伝導のための多数の柱部が島状に配置されていることを特徴とする請求項9ないし12のいずれか一に記載のガス供給装置。
  14. シャワーヘッドの下面に対応する温度を検出するための温度検出部と、この温度検出部の温度検出値に基づいてシャワーへッド用加熱手段を制御する制御部と、を備えたことを特徴とする請求項9ないし13のいずれか一に記載のガス供給装置。
  15. 基板に成膜処理を施す処理空間を形成するチャンバーと、このチャンバー内に設けられ、基板を載置するステージと、前記ステージに載置された基板を加熱する基板用加熱手段と、前記ステージに対向して設けられた多数のガス吐出孔を有するシャワーヘッドと、を備えた成膜装置を用いて基板に成膜を行う方法について、
    基板をステージに載置する工程と、
    基板を基板用加熱手段により加熱する工程と、
    ガス供給機構によりシャワーヘッドを介して処理空間に処理ガスを供給する工程と、
    シャワーヘッドの上方に設けられた冷却手段によりシャワーヘッドを冷却する工程と、
    前記冷却手段の上方に設けられた、シャワーヘッド用加熱手段により当該冷却手段を介してシャワーヘッドを加熱する工程と、
    を含むことを特徴とする成膜方法。
  16. 冷却手段による冷却は、冷却用フィンに冷却用ガスを供給する工程であることを特徴とする請求項15記載の成膜方法。
  17. 冷却用フィンは起立し、横方向に並行状に伸びるように配列され、冷却用ガス供給路は冷却用フィン間の横長の隙間の一端側から他端側に向けて冷却用ガスを通流させるために当該一端側にガス吹き出し口が開口していることを特徴とする請求項16記載の成膜方法。
  18. 冷却手段及びシャワーへッド用加熱手段は、排気口を備えた筐体の中に収納されていることを特徴とする請求項15ないし17のいずれか一に記載の成膜方法。
  19. シャワーヘッドは、ガス吐出孔に連通するガス拡散室を備え、このガス拡散室には、シャワーヘッドの上面部と下面部との間の熱伝導のための多数の柱部が島状に配置されていることを特徴とする請求項15ないし18のいずれか一に記載の成膜方法。
  20. 温度検出部によりシャワーヘッドの下面に対応する温度を検出する工程と、
    その温度検出部の温度検出値に基づいてシャワーへッド用加熱手段を制御する工程と、
    を備えたことを特徴とする請求項15ないし19のいずれか一に記載の成膜方法。
  21. 第1の処理ガスと第2の処理ガスとを同時あるいは別々に多数回処理空間に供給し、薄膜の成分層を基板に重ねて積層する工程を含むことを特徴とする請求項15ないし20のいずれか一に記載の成膜方法。
  22. 第1の処理ガスはチタン化合物であり、第2の処理ガスはアンモニアガスであることを特徴とする請求項21記載の成膜方法。
  23. 基板に成膜処理を施す処理空間を形成するチャンバーと、このチャンバー内に設けられ、基板を載置するステージと、前記ステージに載置された基板を加熱する基板用加熱手段と、前記ステージに対向して設けられた多数のガス吐出孔を有するシャワーヘッドと、を備えた成膜装置を用いて基板に成膜を行う方法を実施するコンピュータプログラムを備えた記憶媒体において、
    請求項15ないし22のいずれか一に記載の成膜方法を実施するためのステップ群を含んだコンピュータプログラムを備えたことを特徴とする記憶媒体。
JP2006170585A 2006-06-20 2006-06-20 成膜装置、ガス供給装置、成膜方法及び記憶媒体 Active JP5045000B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006170585A JP5045000B2 (ja) 2006-06-20 2006-06-20 成膜装置、ガス供給装置、成膜方法及び記憶媒体
CN2007800020357A CN101365823B (zh) 2006-06-20 2007-06-19 成膜装置和成膜方法
PCT/JP2007/062328 WO2007148692A1 (ja) 2006-06-20 2007-06-19 成膜装置及び成膜方法
KR1020087030964A KR101089977B1 (ko) 2006-06-20 2007-06-19 성막 장치 및 성막 방법, 가스 공급 장치 및 기억 매체
TW096122094A TWI427679B (zh) 2006-06-20 2007-06-20 Film forming apparatus and film forming method
US12/340,058 US8133323B2 (en) 2006-06-20 2008-12-19 Film forming apparatus and method, gas supply device and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006170585A JP5045000B2 (ja) 2006-06-20 2006-06-20 成膜装置、ガス供給装置、成膜方法及び記憶媒体

Publications (3)

Publication Number Publication Date
JP2008001923A JP2008001923A (ja) 2008-01-10
JP2008001923A5 JP2008001923A5 (ja) 2009-07-02
JP5045000B2 true JP5045000B2 (ja) 2012-10-10

Family

ID=38833434

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006170585A Active JP5045000B2 (ja) 2006-06-20 2006-06-20 成膜装置、ガス供給装置、成膜方法及び記憶媒体

Country Status (6)

Country Link
US (1) US8133323B2 (ja)
JP (1) JP5045000B2 (ja)
KR (1) KR101089977B1 (ja)
CN (1) CN101365823B (ja)
TW (1) TWI427679B (ja)
WO (1) WO2007148692A1 (ja)

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
EP2294244B1 (en) * 2008-05-28 2016-10-05 Aixtron SE Thermal gradient enhanced chemical vapour deposition.
US20110070370A1 (en) 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
JP5231117B2 (ja) 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
JP5106331B2 (ja) 2008-09-16 2012-12-26 東京エレクトロン株式会社 基板載置台の降温方法、コンピュータ読み取り可能な記憶媒体および基板処理システム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8931431B2 (en) 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP2011168881A (ja) * 2010-01-25 2011-09-01 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5409413B2 (ja) * 2010-01-26 2014-02-05 日本パイオニクス株式会社 Iii族窒化物半導体の気相成長装置
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
JP5982758B2 (ja) 2011-02-23 2016-08-31 東京エレクトロン株式会社 マイクロ波照射装置
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103014669B (zh) * 2011-09-23 2014-11-26 理想能源设备(上海)有限公司 化学气相沉积装置
CN103014666B (zh) * 2011-09-23 2014-11-26 理想能源设备(上海)有限公司 化学气相沉积装置
CN103014668B (zh) * 2011-09-23 2014-12-24 理想能源设备(上海)有限公司 化学气相沉积装置
CN103014665B (zh) * 2011-09-23 2015-02-18 理想能源设备(上海)有限公司 金属有机化合物化学气相沉积装置及其气体输送方法
CN103014667B (zh) * 2011-09-23 2015-07-01 理想能源设备(上海)有限公司 化学气相沉积装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN105431928B (zh) * 2013-02-06 2018-02-16 应用材料公司 气体注入装置及并入气体注入装置的基板处理腔室
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2013110440A (ja) * 2013-03-11 2013-06-06 Tokyo Electron Ltd 電極ユニット及び基板処理装置
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
JP2014192372A (ja) * 2013-03-27 2014-10-06 Tokyo Electron Ltd マイクロ波加熱処理装置
KR101467195B1 (ko) * 2013-05-14 2014-12-01 주식회사 아바코 가스 분사기 및 이를 포함하는 박막 증착 장치
KR101526505B1 (ko) * 2013-06-20 2015-06-09 피에스케이 주식회사 냉각 유닛 및 이를 이용한 냉각 방법, 기판 처리 장치 및 이를 이용한 기판 처리 방법
JP6180208B2 (ja) * 2013-07-08 2017-08-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR20150055227A (ko) * 2013-11-13 2015-05-21 삼성전자주식회사 샤워 헤드 및 이를 포함하는 기판 처리 장치
JP6135475B2 (ja) * 2013-11-20 2017-05-31 東京エレクトロン株式会社 ガス供給装置、成膜装置、ガス供給方法及び記憶媒体
JP5971870B2 (ja) 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP5726281B1 (ja) * 2013-12-27 2015-05-27 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP6123688B2 (ja) * 2014-01-29 2017-05-10 東京エレクトロン株式会社 成膜装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
FR3018825B1 (fr) * 2014-03-21 2017-09-01 Altatech Semiconductor Procede de depot en phase gazeuse
SG11201608640QA (en) * 2014-05-16 2016-11-29 Applied Materials Inc Showerhead design
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6193284B2 (ja) * 2015-03-18 2017-09-06 株式会社東芝 流路構造、吸排気部材、及び処理装置
CN104789943A (zh) * 2015-04-01 2015-07-22 沈阳拓荆科技有限公司 控温型双气体通道均匀喷气喷淋板
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3255173B1 (de) * 2016-06-06 2018-11-21 Meyer Burger (Germany) AG Fluidtemperierter gasverteiler in schichtbauweise
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
WO2018083989A1 (ja) * 2016-11-02 2018-05-11 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7093667B2 (ja) * 2018-04-11 2022-06-30 東京エレクトロン株式会社 成膜装置及び成膜方法
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
JP6715894B2 (ja) * 2018-08-07 2020-07-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7224175B2 (ja) * 2018-12-26 2023-02-17 東京エレクトロン株式会社 成膜装置及び方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR102268559B1 (ko) * 2019-07-03 2021-06-22 세메스 주식회사 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220093361A1 (en) * 2020-09-22 2022-03-24 Applied Materials, Inc. Showerhead assembly with recursive gas channels
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
KR20230074554A (ko) * 2020-09-25 2023-05-30 램 리써치 코포레이션 고온 프로세스들을 위한 축방향으로 냉각된 금속 샤워헤드들
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11705312B2 (en) * 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
JP7114763B1 (ja) * 2021-02-15 2022-08-08 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
CN113136568B (zh) * 2021-04-07 2022-10-11 拓荆科技股份有限公司 一种节能型主动控温喷淋头
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN117616538A (zh) * 2021-07-08 2024-02-27 应用材料公司 具有递归气体通道的喷头组件
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023054531A1 (ja) * 2021-09-29 2023-04-06 京セラ株式会社 シャワープレート
EP4190938B1 (en) * 2021-12-03 2024-03-06 Semsysco GmbH Distribution body for distributing a process gas for treating a substrate by means of the process gas

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4793975A (en) * 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
JP2747036B2 (ja) * 1989-07-07 1998-05-06 日本電信電話株式会社 薄膜形成方法
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6700089B1 (en) * 1999-03-30 2004-03-02 Tokyo Electron Limited Plasma processing device, its maintenance method, and its installation method
EP1193751B1 (en) * 1999-04-06 2006-05-17 Tokyo Electron Limited Electrode and method of manufacturing an electrode
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
TW200819555A (en) * 2000-09-08 2008-05-01 Tokyo Electron Ltd Shower head structure, device and method for film formation, and method for cleaning
KR100676979B1 (ko) * 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
JP3869778B2 (ja) * 2002-09-11 2007-01-17 エア・ウォーター株式会社 成膜装置
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
KR20060064067A (ko) 2003-09-03 2006-06-12 동경 엘렉트론 주식회사 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20070137575A1 (en) * 2003-11-05 2007-06-21 Tokyo Electron Limited Plasma processing apparatus
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
JP4451221B2 (ja) 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
KR100587686B1 (ko) 2004-07-15 2006-06-08 삼성전자주식회사 질화 티타늄막 형성방법 및 이를 이용한 커패시터 제조방법
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置

Also Published As

Publication number Publication date
US20090104351A1 (en) 2009-04-23
WO2007148692A1 (ja) 2007-12-27
KR101089977B1 (ko) 2011-12-05
JP2008001923A (ja) 2008-01-10
CN101365823B (zh) 2012-07-18
TWI427679B (zh) 2014-02-21
TW200814149A (en) 2008-03-16
US8133323B2 (en) 2012-03-13
KR20090017622A (ko) 2009-02-18
CN101365823A (zh) 2009-02-11

Similar Documents

Publication Publication Date Title
JP5045000B2 (ja) 成膜装置、ガス供給装置、成膜方法及び記憶媒体
JP4889683B2 (ja) 成膜装置
JP6676537B2 (ja) 基板載置台
KR102076643B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
WO2012099064A1 (ja) 基板処理装置、基板支持具及び半導体装置の製造方法
US20200026314A1 (en) Heat treatment apparatus and heat treatment method
US11784070B2 (en) Heat treatment apparatus, heat treatment method, and film forming method
US20100064972A1 (en) Cvd film forming apparatus
TW201724393A (zh) 基板處理裝置、半導體裝置之製造方法及記錄程式之記錄媒體
JP4260404B2 (ja) 成膜装置
WO2011033918A1 (ja) 成膜装置、成膜方法および記憶媒体
JP2015142016A (ja) 基板処理装置
JP2019021910A (ja) 基板処理装置、基板保持具及び半導体装置の製造方法
WO2018150537A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP7407521B2 (ja) 成膜方法及び成膜装置
JP4782761B2 (ja) 成膜装置
US20080078743A1 (en) Elevated temperature chemical oxide removal module and process
KR20070046349A (ko) 막 형성 방법 및 반응 부산물의 제거 방법
KR101878268B1 (ko) 박막증착장치 및 박막증착장치의 제어방법
JP6561148B2 (ja) 基板処理装置、継手部および半導体装置の製造方法
JP7317912B2 (ja) 炉口部構造、基板処理装置、および半導体装置の製造方法
KR20060085358A (ko) 막 형성 장치
JP2014055558A (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2013201333A (ja) 基板処理装置、半導体装置の製造方法及び基板処理方法
JP2014013841A (ja) 処理方法およびコンデショニング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090515

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090515

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120619

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120702

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150727

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5045000

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250