CN101365823B - 成膜装置和成膜方法 - Google Patents
成膜装置和成膜方法 Download PDFInfo
- Publication number
- CN101365823B CN101365823B CN2007800020357A CN200780002035A CN101365823B CN 101365823 B CN101365823 B CN 101365823B CN 2007800020357 A CN2007800020357 A CN 2007800020357A CN 200780002035 A CN200780002035 A CN 200780002035A CN 101365823 B CN101365823 B CN 101365823B
- Authority
- CN
- China
- Prior art keywords
- gas
- shower nozzle
- cooling
- film
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title abstract description 10
- 238000001816 cooling Methods 0.000 claims abstract description 100
- 239000000758 substrate Substances 0.000 claims abstract description 42
- 238000012545 processing Methods 0.000 claims abstract description 38
- 238000010438 heat treatment Methods 0.000 claims abstract description 37
- 230000007246 mechanism Effects 0.000 claims abstract description 11
- 230000008021 deposition Effects 0.000 claims description 71
- 238000001514 detection method Methods 0.000 claims description 16
- 238000009792 diffusion process Methods 0.000 claims description 16
- 230000004087 circulation Effects 0.000 claims description 11
- 235000019628 coolness Nutrition 0.000 claims description 11
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 6
- 238000013022 venting Methods 0.000 claims description 6
- 230000004069 differentiation Effects 0.000 claims description 4
- 229910021529 ammonia Inorganic materials 0.000 claims description 3
- 150000003609 titanium compounds Chemical class 0.000 claims description 3
- 239000005001 laminate film Substances 0.000 claims 1
- 238000005192 partition Methods 0.000 abstract description 33
- 230000008569 process Effects 0.000 abstract description 3
- 239000007789 gas Substances 0.000 description 142
- 238000000151 deposition Methods 0.000 description 59
- 239000000112 cooling gas Substances 0.000 description 42
- 239000011159 matrix material Substances 0.000 description 26
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 25
- 229910052718 tin Inorganic materials 0.000 description 25
- 230000000052 comparative effect Effects 0.000 description 23
- 230000014509 gene expression Effects 0.000 description 16
- 230000000694 effects Effects 0.000 description 8
- 230000008093 supporting effect Effects 0.000 description 7
- VZSRBBMJRBPUNF-UHFFFAOYSA-N 2-(2,3-dihydro-1H-inden-2-ylamino)-N-[3-oxo-3-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)propyl]pyrimidine-5-carboxamide Chemical compound C1C(CC2=CC=CC=C12)NC1=NC=C(C=N1)C(=O)NCCC(N1CC2=C(CC1)NN=N2)=O VZSRBBMJRBPUNF-UHFFFAOYSA-N 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 6
- AFCARXCZXQIEQB-UHFFFAOYSA-N N-[3-oxo-3-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)propyl]-2-[[3-(trifluoromethoxy)phenyl]methylamino]pyrimidine-5-carboxamide Chemical compound O=C(CCNC(=O)C=1C=NC(=NC=1)NCC1=CC(=CC=C1)OC(F)(F)F)N1CC2=C(CC1)NN=N2 AFCARXCZXQIEQB-UHFFFAOYSA-N 0.000 description 5
- 238000013461 design Methods 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 239000004411 aluminium Substances 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 230000004043 responsiveness Effects 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000010276 construction Methods 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 239000002052 molecular layer Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000010926 purge Methods 0.000 description 2
- 238000010791 quenching Methods 0.000 description 2
- 238000006722 reduction reaction Methods 0.000 description 2
- 230000001105 regulatory effect Effects 0.000 description 2
- 230000000452 restraining effect Effects 0.000 description 2
- 239000007921 spray Substances 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229910008484 TiSi Inorganic materials 0.000 description 1
- 229910008812 WSi Inorganic materials 0.000 description 1
- 230000003321 amplification Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 239000003610 charcoal Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- RKTYLMNFRDHKIL-UHFFFAOYSA-N copper;5,10,15,20-tetraphenylporphyrin-22,24-diide Chemical compound [Cu+2].C1=CC(C(=C2C=CC([N-]2)=C(C=2C=CC=CC=2)C=2C=CC(N=2)=C(C=2C=CC=CC=2)C2=CC=C3[N-]2)C=2C=CC=CC=2)=NC1=C3C1=CC=CC=C1 RKTYLMNFRDHKIL-UHFFFAOYSA-N 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000012774 insulation material Substances 0.000 description 1
- 229910000765 intermetallic Inorganic materials 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000000463 material Substances 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- -1 metal such as Ti Chemical class 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 150000002816 nickel compounds Chemical class 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000003199 nucleic acid amplification method Methods 0.000 description 1
- 239000002994 raw material Substances 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 238000010408 sweeping Methods 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4557—Heated nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45572—Cooled nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Inorganic Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
本发明提供一种成膜装置,其特征在于,包括:腔室,其区分用于对基板实施成膜处理的处理空间;平台,其设置于上述腔室内,用于载置上述基板;基板用加热单元,其设置于上述平台上,用于对上述基板进行加热;喷头,其与上述平台相对设置,且具有多个气体喷出孔;气体供给机构,其通过上述喷头向上述腔室内供给处理气体;冷却单元,其设置于上述喷头的上方,对该喷头进行冷却;和喷头用加热单元,其设置于上述冷却单元的上方,隔着该冷却单元对上述喷头进行加热。
Description
技术领域
本发明涉及利用化学蒸镀(CVD)在基板上形成规定薄膜的成膜装置和成膜方法。
背景技术
在半导体制造工序中,为了埋入形成于作为被处理体的半导体晶片(以下称为晶片)中的配线间的孔,或者,作为阻挡层,堆积Ti、Al、Cu等金属或WSi、TiN、TiSi等金属化合物,形成薄膜。作为这种金属或金属化合物的薄膜的成膜方法之一,有CVD法。该方法与物理蒸镀(PVD)相比,具有埋入性良好的优点。
CVD成膜装置包括:设置于腔室内,内置有加热器的晶片用的平台;和与上述平台的上方相对设置的处理气体喷出用的喷头。腔室内的处理空间为规定的真空度。通过将平台上的晶片加热至规定的温度,并从喷头向腔室内连续地供给处理气体,在晶片表面发生化学反应,其反应物在晶片表面堆积,进行成膜。
然而,例如作为处理气体使用TiCl4和NH3,在晶片上形成TiN膜时,为了避免由处理气体生成的低次的TiClx向晶片附着,有时需要喷头的与处理空间接触的部位的温度控制。出于此目的,有时也在喷头侧设置加热器。
另一方面,为了以良好的密接性和分步敷层形成良好膜质的薄膜,有时采用作为CVD的一种方法的称为SFD(连续流沉积)的方法,进行成膜处理。SFD,是通过反复进行向腔室内的处理空间断续地供给含有成膜原料这一循环,在晶片上叠层分子层,从而形成所期望厚度的薄膜的方法。
在采用SFD进行成膜的情况下,为了促进断续的化学反应,需要在更短的时间内为处理气体提供能量。因此,通常,将设置于平台上的加热器的温度设定为高于进行现有的CVD时的高温。然而,如果如此升高平台的加热器的温度,则成膜处理中与处理空间接触的喷头表面的温度接受由加热器辐射的热而升高,容易在该喷头表面也形成处理气体的膜。
在这种状况下,一旦膜附着于喷头上,因为该膜吸收热量,所以导致该喷头的进一步的升温。于是,由于该升温,膜更容易附着于喷头,则该喷头更进一步地升温,陷入这种恶性循环。结果,不能进行喷头侧加热器的温度控制,即,存在不能进行成膜处理所需要的喷头的温度控制的危险。并且,在喷头为镍制的情况下,如果因不能进行喷头的温度控制而导致喷头的温度超过允许范围,则在喷头上生成镍化合物,可能成为发生颗粒的主要原因。
这里,在日本专利特开2002-327274(特别是段落0038、图1)中公开了在喷头的上方设置有加热单元、在该加热单元的上方还设置有冷却单元的成膜装置。但是,在如SFD、喷头由于来自处理空间侧的热量而升温时,要求用于抑制该升温的温度控制的情况下,在该公报公开的冷却单元中,因为冷却作用不直接作用于喷头,而是从加热单元的上方进行,所以冷却的应答性差。即,依然不能达到精度良好地控制面向处理空间的喷头表面的温度。
发明内容
本发明是着眼于以上问题、为了有效地解决该问题而完成的。本发明的目的在于:提供一种能够精度良好地将面向处理空间的喷头表面的温度控制在规定温度的成膜装置和成膜方法。
本发明是一种成膜装置,其特征在于,包括:腔室,其区分用于对基板实施成膜处理的处理空间;平台,其设置于上述腔室内,用于载置上述基板;基板用加热单元,其设置于上述平台上,用于对上述基板进行加热;喷头,其与上述平台相对设置,且具有多个气体喷出孔;气体供给机构,其通过上述喷头向上述腔室内供给处理气体;冷却单元,其设置于上述喷头的上方,对该喷头进行冷却;和喷头用加热单元,其设置于上述冷却单元的上方,隔着该冷却单元对上述喷头进行加热。
根据该特征,冷却单元的冷却作用直接作用于喷头,因此,冷却的应答性好,即,能够精度良好地将面向处理空间的喷头表面的温度控制在规定温度。由此,能够在基板间进行均匀性高的成膜处理。
例如,上述冷却单元,具有多个冷却用散热片、和向该冷却用散热片供给冷却用气体的冷却用气体供给路。
在这种情况下,优选上述多个冷却用散热片各自沿横向方向呈板状立起;上述多个冷却用散热片相互平行地排列;上述冷却用气体供给路,用于使冷却用气体从上述多个冷却用散热片之间沿横向方向延伸的空隙的一端侧向另一端侧流通,具有在该一端侧开口的气体吹出口。
而且,优选上述冷却单元和上述喷头用加热单元,收纳在具有排气口的筐体内。
而且,优选上述喷头具有与上述多个气体喷出孔连通的气体扩散室,上述气体扩散室内配置有用于上述喷头的上面侧部与下面侧部之间的热传导的多个柱部。
而且,优选本发明的成膜装置还包括:温度检测部,其用于检测与上述喷头的下表面对应的温度;和控制部,其根据上述温度检测部的温度检测值,控制上述喷头用加热单元。
而且,优选上述气体供给机构将第一处理气体和第二处理气体同时或分别地分为多个循环向处理空间供给。在这种情况下,例如,上述第一处理气体是钛化合物的气体,上述第二处理气体是氨气。
本发明还是一种气体供给装置,其组装于包括腔室和平台的成膜装置使用,上述腔室区分用于对基板实施成膜处理的处理空间,上述平台设置于上述腔室内,用于载置上述基板,上述气体供给装置的特征在于,包括:喷头,其与上述平台相对设置,且具有多个气体喷出孔;冷却单元,其设置于上述喷头的上方,对该喷头进行冷却;和喷头用加热单元,其设置于上述冷却单元的上方,隔着该冷却单元对上述喷头进行加热。
根据该特征,冷却单元的冷却作用直接作用于喷头,因此,冷却的应答性好,即,能够精度良好地将面向处理空间的喷头表面的温度控制在规定温度。由此,能够在基板间进行均匀性高的成膜处理。
此外,本发明是一种使用成膜装置对基板实施成膜处理的成膜方法,该成膜装置包括:腔室,其区分用于对基板实施成膜处理的处理空间;平台,其设置于上述腔室内,用于载置上述基板;基板用加热单元,其设置于上述平台上,用于对上述基板进行加热;喷头,其与上述平台相对设置,且具有多个气体喷出孔;气体供给机构,其通过上述喷头向上述腔室内供给处理气体;冷却单元,其设置于上述喷头的上方,对该喷头进行冷却;和喷头用加热单元,其设置于上述冷却单元的上方,隔着该冷却单元对上述喷头进行加热。上述成膜方法的特征在于,包括:在平台上载置基板的工序;利用上述基板用加热单元对上述基板进行加热的工序;利用上述气体供给机构,通过上述喷头向上述腔室内供给处理气体的工序;利用设置于上述喷头上方的上述冷却单元,对上述喷头进行冷却的工序;和利用设置于上述冷却单元上方的上述喷头用加热单元,隔着上述冷却单元对上述喷头进行加热的工序。
此外,本发明是一种存储介质,其特征在于:存储有用于使计算机实施具有上述特征的成膜方法的计算机能够读取的计算机程序。
其中,作为基板,可以列举半导体晶片或LCD基板、玻璃基板、陶瓷基板等。
附图说明
图1为表示本发明的成膜装置的一种实施方式的截面示意图。
图2为表示图1的成膜装置的喷头的放大截面图。
图3为表示构成图2的喷头的隔板部的上表面的立体图。
图4为表示图3的隔板部的下表面的立体图。
图5为表示图2的喷头上方的各部件结构的立体图。
图6为表示图2的喷头上方的冷却部件的俯视图。
图7为用于说明向图6的冷却部件供给冷却气体的状况的俯视图。
图8为表示图2的喷头上方的加热器的截面图。
图9为表示图1的成膜装置上面侧的各部件结构的立体图。
图10为表示晶片的成膜方法的一种实施方式的流程图。
图11为表示图10的成膜工序中各处理气体的供给的接通、断开的说明图。
图12为表示作为比较例使用的现有的成膜装置的截面示意图。
图13为表示构成图12的成膜装置的喷头的隔板部的上表面的立体图。
图14A为表示在未对喷头进行预涂的情况下,使用本发明的成膜装置的一种实施方式的成膜处理时和使用现有的成膜装置的成膜处理时喷头的温度的曲线图。
图14B为表示在对喷头进行预涂的情况下,使用本发明的成膜装置的一种实施方式的成膜处理时和使用现有的成膜装置的成膜处理时喷头的温度的曲线图。
图15A为表示本发明的成膜装置的一种实施方式的喷头的温度和现有的成膜装置的喷头的温度的曲线图。
图15B为表示本发明的成膜装置的一种实施方式的加热器的输出和现有的成膜装置的加热器的输出的曲线图。
具体实施方式
图1为表示本发明的一种实施方式的成膜装置的截面示意图。本实施方式的成膜装置1,是采用SFD在作为基板的晶片W上形成TiN薄膜的装置。
该成膜装置1,具有气密构成的大致圆筒状的腔室2。在腔室2的底部中央,隔着密封圈,安装有向下方凸出的圆筒状的(直径小于腔室2的)平台保持部件21。利用腔室2,规定(包围)处理空间S。腔室2和平台保持部件21具有未图示的加热机构,这些加热机构,由未图示的电源供电,加热至规定的温度。
在腔室2的侧壁,设置有用于进行晶片W的搬入搬出的搬入搬出口22。该搬入搬出口22利用闸阀23开闭。
排气管24与平台保持部件21的侧壁连接。排气单元25与该排气管24连接。该排气单元25,接收来自下述控制部100的控制信号,进行工作。由此,将腔室2内减压至规定的真空度。
在腔室2中,设置有作为用于水平地载置基板晶片W的载置台的平台3。平台3利用圆筒形状的支承部件31支承。支承部件31的下端隔着未图示的密封圈安装在平台保持部件21上。
在平台3内,埋设有晶片用加热器32。该晶片用加热器32由未图示的电源供电,由此将晶片W加热至规定的温度。
在平台3上,设置有用于支承晶片W并使其升降的3根(图中仅图示2根)晶片支承销33,以能够相对于平台3的表面突出没入的方式设置。这些晶片支承销33固定在支承板34上,伴随着利用包括例如电动机的驱动装置35的支承板34的升降而升降。
在腔室2的上部设置有支承部件2a。隔着该支承部件2a,以与平台3相对的方式设置有喷头4。图2为喷头4的纵截面图。参照图2,对于喷头4的结构进行说明。喷头4,由基体(base)部41、隔板部51和喷淋板42构成。在基体部41的中央下面部,设置有隔板部51。在隔板部51的下面侧,设置有喷淋板42。图中40表示用于将隔板部51和喷淋板42固定在基体部41上的螺栓,图中40a表示螺孔。
基体部41形成为扁平的圆形状。在其下端的外侧,设置有法兰部。该法兰部由上述支承部件2a支承。在基体部41的内部,分别形成有彼此区分的第一气体流路41a和第二气体流路41b。
而且,在基体部41的比法兰部靠上的上侧部,设置有检测基体部41的该上侧部的温度的作为检测部的传感器4A。该温度检测传感器4A,向下述控制部100发送与检测出的温度对应的电信号。并且,因为基体部41隔着隔板部51与喷淋板42连接,所以由该温度检测传感器4A检测出的温度,为与喷头4中面向处理空间S的表面的温度对应的值。
图3为表示构成图2的喷头的隔板部的上表面的立体图。图4为表示图3的隔板部的下表面的立体图。隔板部51,具有圆板部52、在该圆板部52的周缘分别向上下凸出的凸缘部53、54。凸缘部53的上表面与上述基体部41密接。凸缘部54的下表面与喷淋板42密接。由凸缘部53、圆板部52和基体部41包围的空间,构成第一气体扩散室52a。而由凸缘部54、圆板部52和喷淋板42包围的空间,构成第二气体扩散室52b。
第一气体扩散室51a,与基体部41的第一气体流路41a连通。此外,虽然图3和图4中省略图示,但是如图2所示,通过设置于圆板部52的厚度方向的中间路50,基体部41的第二气体供给路41b与第二气体扩散室52b连通。
如图3所示,在圆板部52的上表面侧,岛状地设置有例如相互隔开间隔且向上方延伸的多个作为柱部的凸起55。这些各凸起55的上表面(顶面)与基体部41的下表面接触。当利用后述的冷却部件6冷却基体部41时,各凸起55效率良好地向隔板部51传递基体部41的冷气(冷热)。由此,能够高精度地控制该隔板部51的表面和与该隔板部51连接的喷淋板42的表面的温度。
在本实施方式中,隔板部51的直径为340mm。并且,隔板部51中与基体部41接触的面积的合计为385cm2左右。该合计面积为隔板部51向基体部41的投影区域的面积的约42%。
如图4所示,在圆板部52的下表面,遍及其整个下表面,设置有相互隔开间隔且向下方延伸的多个凸出部(凸起)56。这些各凸起56的顶面与喷淋板42的上表面接触。并且,以沿厚度方向贯通各凸起56和圆板部52的方式,贯穿设置有气体导入孔57a。气体导入孔57a与第一气体扩散室52a连通。
其中,在图3中,为便于图示,仅绘制几个气体导入孔57a。然而,实际上,设置有多个以与图4的各凸起56对应。而且,图3和图4只不过示意性地表示圆板部的上表面和下表面,凸起55和凸起56的大小,各凸起间的间隔,各凸起55、56的个数均可以适当变更。
喷淋板42是形成为圆板状的部件,沿厚度方向贯穿设置有多个气体喷出孔。气体喷出孔,例如遍及整个喷淋板42排列为矩阵状。这些气体喷出孔由与第一气体扩散室52a连通的第一气体喷出孔42a和与第二气体扩散室52b连通的第二气体喷出孔42b构成。第一和第二气体喷出孔42a、42b交替排列。
供给至第一气体流路41a的气体通过第一气体扩散室52a和气体导入孔57a,从气体喷出孔42a呈喷淋状向处理空间S喷出,向平台3上的晶片W供给。此外,供给至第二气体流路41b的气体通过中间路50和第二气体扩散室52b,从气体喷出孔42b呈喷淋状向处理空间S喷出,向平台3上的晶片W供给。即,供给至第一气体流路41a的气体和供给至第二气体流路41b的气体,并未在喷头4内相互混合。
下面,图5为表示喷头4的上方结构的立体图。如图5所示,在基体部41上,设置有例如由铝构成的冷却部件6。
基体部41的上表面和冷却部件6的下表面以整个表面密接的方式由未图示的螺栓等固定。并且,为了有效地进行热传导,可以在基体部41与冷却部件6之间,设置由石墨炭、金属、陶瓷等高导热系数的材质形成的部件。图6为冷却部件6的俯视图。冷却部件6由圆板状的基部61和在该基部61的上表面立起的多个冷却用散热片62构成。各冷却用散热片62形成为沿水平方向延伸的板状,冷却用散热片62相互平行地排列。
基部61的中央,为下述气体供给部件81的连接区域。在该基部61的中央,沿基部61的厚度方向设置有四边形的孔61a。下述气体供给部件81通过该孔61a与喷头4连接。
如图6所示,在基部61上,以从该基部61的一端朝向其中心的方式配设有冷却气体导入管63。冷却气体导入管63,与在基部61上沿其直径伸长的冷却气体喷出管64的中央部连接。并且,在该基部61上,以包围气体供给部件81的连接区域的方式设置有侧管65。侧管65的两端与冷却气体喷出管64连接。
在冷却气体喷出管64和侧管65上,各自隔开间隔设置有用于向冷却用散热片62之间的横长的空隙沿冷却用散热片62的伸长方向喷出气体的气体吹出口(冷却气体喷出孔)66。并且,储存有作为冷却气体的例如干燥空气的冷却气体供给源67与冷却气体导入管63连接。图中V1是阀,接收控制部100的电信号,控制由冷却气体供给源67向冷却气体导入管63的冷却气体的给停。
如果根据控制部100的电信号阀V1开启,如图7所示,规定流量的冷却气体从冷却气体供给源67通过冷却气体导入管63流入冷却气体喷出管64和侧管65,从冷却气体喷出孔66喷出。喷出的冷却气体,如图中箭头所示,沿冷却用散热片62流向冷却部件6的周缘部。此时,冷却用散热片62和基部61的表面暴露于冷却气体的气流中,从而被冷却。这样,一旦冷却部件6被冷却,邻接的喷头4就会被冷却。
其中,冷却部件6、冷却气体导入管63、冷却气体喷出管64、侧管65和冷却气体供给源67,构成权利要求书中的冷却单元。并且,冷却气体导入管63、冷却气体喷出管64和侧管65构成冷却用气体供给路。
另外,如图8所示,在冷却用散热片62的上部,隔着由铝构成的板状部件70,设置有作为喷头用加热单元的圆板状的喷头用加热器71。该加热器71,能够隔着板状部件70和冷却部件6对喷头4进行加热。喷头用加热器71,例如具有使用作为绝缘材料的橡胶片73从上下夹持发热电阻体72的结构。图中74为例如由铝构成的板状部件。作为喷头用加热器71,可以使用在金属板内埋入发热电阻体的加热器。但是,从实现装置的轻质化的观点出发,优选使用上述结构。
接收来自喷头4的温度检测传感器4A的电信号的控制部100,向喷头用加热器71发送电信号,调整该加热器71的输出,使得该温度检测传感器4A的检测值为例如预先设定的温度。喷头用加热器71在该控制部100的控制下,隔着冷却部件6,对喷头4进行加热。
利用从喷头用加热器71发出的热量和上述冷却部件6的冷气(冷热),能够抑制在喷头4的面向处理空间S的表面上形成TiN膜,另一方面,能够实现在处理空间S中的晶片W上进行良好的成膜处理的温度控制。其中,在成膜处理中,为了防止在喷头4的表面形成TiN膜,优选将其表面温度控制为185℃以下。
在基部41的上部中央,设置有气体供给部件81。如图1所示,在气体供给部件81中设置有第一气体供给管81a和第二气体供给管81b。
第一气体供给管81a的一端与第一气体流路41a连接。而第一气体供给管81a的另一端分支,与储存有作为第一处理气体的NH3气体的气体供给源82和储存有作为载气的N2(氮气)气体的气体供给源83连接。
此外,第二气体供给管81b的一端与第二气体流路41b连接。而第二气体供给管81b的另一端分支,与储存有作为第二气体的TiCl4气体的气体供给源84、储存有作为载气的N2气体的气体供给源85和储存有作为清洗气体的ClF3气体的气体供给源86连接。
并且,在各气体供给管81a、81b上,设置有由阀和质量流量控制器等构成的气体供给机器组87。该气体供给机器组87,接收来自下述的控制部100的控制信号,控制各处理气体的给停。其中,各气体供给源82~86,各气体供给管81a、81b,和气体供给机器组87,相当于权利要求书中的气体供给机构。
图9为表示成膜装置1的上表面的立体图。如图1和图9所示,在腔室2上,隔着板部件2b,设置有作为收纳冷却部件6和喷头用加热器71的筐体的盖27。图1的27a为由盖27包围的排气空间。在盖27的上部,设置有向排气空间27a开口的排气口28a。排气管29的一端与排气口28a连接。排气管29的另一端与排气单元29a连接。
从冷却气体的喷出孔66喷出的冷却气体将冷却部件6冷却后,流经排气空间27a,通过排气单元29a从排气管29被除去。
在本实施方式的成膜装置1中,设置有例如由计算机构成的控制部100。控制部100具备程序、存储器、由CPU构成的数据处理部等。上述程序中组装有下述命令,控制部100向成膜装置1的各部发送控制信号,实施下述各作用,由此能够在晶片W上形成TiN膜。并且,例如在存储器中,设置有写入处理压力、处理时间、气体流量、电力值等处理参数的值(方案)的区域。在CPU执行上述程序的各命令时,读取这些处理参数,向成膜装置1的各部发送与该参数值对应的控制信号。
该程序(也包括与处理参数的输入用画面关联的程序),存储在例如由软盘、光盘、MO(光磁盘)等构成的作为存储介质的存储部101中,适当地安装在控制部100中。
下面,参照图10,对于作为上述成膜装置1作用的在晶片W表面形成TiN膜的成膜方法进行说明。
首先,利用排气单元25将腔室2内抽真空。然后,从气体供给源83以规定流量向腔室2内供给作为不活泼性气体的N2气体。而且,此时,利用晶片用加热器32,将平台3加热至规定的温度,例如600℃~700℃左右。并且,腔室2的未图示的加热器也进行加热,使腔室2内维持在规定的温度。
晶片用加热器32和腔室2的上述未图示的加热器升温后,从冷却气体供给源67例如以150L/min的流量向冷却气体喷出管64供给冷却气体。该冷却气体从冷却气体喷出孔66喷出,冷却部件6被冷却(步骤S1)。其中,例如几乎在供给冷却气体的同时,排气单元29a工作,将排气空间27a排气。
在开始冷却气体的供给后,气体喷头用加热器71升温,隔着冷却部件6,喷头4被加热。这里,控制喷头4的温度,使得利用例如温度检测传感器4A检测出的温度维持在规定值,例如165℃(步骤S2)。
然后,打开闸阀23,通过未图示的搬送臂,将晶片W搬入腔室2内。然后,通过该搬送臂和晶片支承销33的协同作业,将晶片W载置于平台3的上表面,关闭闸阀23(步骤S3)。
将载置于平台3上的晶片W加热至规定的温度(步骤S4)。
图11为沿时间序列表示在晶片W上形成TiN膜的薄膜的工序中气体的给停和压力的控制的曲线图。如果载置于平台3上的晶片W被加热至规定的温度,腔室2内的温度维持为规定的温度,并且,腔室2内的压力维持为例如260Pa,则如图11所示,在时刻t1,两种处理气体的供给为ON。然后,从时刻t1至t2,例如TiCl4气体和NH3气体分别以规定的流量向腔室2内供给。这些TiCl4气体和NH3气体如下述(1)式发生反应,在晶片W的表面形成TiN膜(步骤S5)。
6TiCl4+8NH3→6TiN+24HCl+N2 (1)
接着,在时刻t2,TiCl4气体和NH3气体的供给停止,除去残留在腔室2内的未反应的气体和反应副产物。此时,可以供给作为吹扫气体的例如N2气体。然后,在停止供给TiCl4气体的状态下,以规定流量进行规定时间的NH3气体的供给(具体而言,除了NH3气体之外,也供给作为其载气的N2气体)。由此,已经在晶片W上成膜的TiN中含有的残留氯被NH3气体还原。将由该还原反应生成的氯化物从腔室2内除去。
然后,停止NH3气体的供给,排出该腔室2内的残留NH3气体。此时,可以供给例如N2气体。通过以上操作,在到达时刻t3的时刻,一次循环结束。
然后,反复进行与从时刻t1至时刻t3进行的相同的步骤组。例如,反复进行时刻t1~t3的步骤组10循环以上,优选30循环以上,直至获得期望的TiN膜。根据在1次循环中形成的薄膜的膜厚,适当调整该循环的次数。
在向晶片W表面形成TiN膜结束后,停止TiCl4和NH3两种处理气体的供给,进行规定的时间的腔室2内的吹扫。然后,将NH3气体与作为载气的N2气体一起向腔室2内供给,进行晶片W上的TiN膜表面的氮化处理。这样,对规定片数的晶片W采用同样的工序反复进行成膜处理。
向规定片数的晶片W的成膜处理后,为了除去附着在腔室2内的不需要的成膜物,向该腔室2内供给ClF3气体,进行清洗。
利用上述成膜装置1,在喷头4的上方设置有利用冷却气体冷却的冷却部件6,而且在其上设置有喷头用加热器71。因此,由于设置于喷头4正上方的冷却部件6的冷却作用,能够抑制由于从处理空间S侧由晶片用加热器32辐射的热量和由腔室2的未图示的加热单元辐射的热量而导致喷头4的温度过度升温的情况。而且,通过辅助性地利用冷却单元6上方的喷头用加热器71,能够调整冷却作用的程度,所以能够精度良好地将面向处理空间S的喷头表面的温度控制为规定温度。因此,能够在晶片W间进行均匀性高的TiN膜的成膜处理。
此外,在构成处理气体的第一扩散室52a的隔板部51的上表面,设置有多个凸起55。并且,在构成处理气体的第二扩散室的隔板部51的下表面,设置有多个凸起56。而且,凸起55与基体部41连接,凸起56与喷淋板42连接。由此,在基体部41与隔板部51之间以及隔板部51与喷淋板42之间,效率良好地进行热传导。由此,能够以更高的精度将隔板部51和喷淋板42表面的温度控制为设定温度。
其中,也可以采用下述的结构:作为冷却单元,代替如上述成膜装置设置冷却部件6和气体喷出管64等,在喷头4与加热器71之间设置例如内部设有通气室的部件,使冷却气体在该通气室内流通。或者,作为冷却单元,可以使用由帕尔帖元件构成的冷却部件。
或者,作为冷却单元,可以在喷头4上,设置例如在表面设置有冷却液进行流通的流路的板。但是,如上述实施方式设置有使用冷却气体的冷却单元的结构,不需要绕设用于流通冷却液的配管,能够提高构成成膜装置1的部件的设计自由度,同时能够抑制装置的大型化,因而优选。并且,在上述实施方式中,采用各冷却用散热片62立起设置、冷却气体在各散热片62之间的空隙中流通的结构,因此能够增加暴露于冷却气体中的冷却部件6的表面积,另一方面能够抑制冷却部件6的壁面积。因此,能够有效地抑制装置的大型化。
其中,在上述成膜工序中,反复进行将处理气体脉冲式导入腔室2内的循环,阶段性地叠层膜,但是也可以进行连续供给处理气体的CVD成膜处理。另外,可以不同时向腔室2内供给TiCl4气体和NH3气体,而是通过交替分别供给,将处理空间S的氛围气体交替地多次切换为TiCl4气体的氛围气体和NH3气体的氛围气体,交替地向晶片W上进行Ti原子层(或分子层)的形成和氮化,从而形成TiN膜。
另外,还可以例如向喷头4施加高频,在腔室2内产生等离子体,利用该等离子体的能量和晶片用加热器32的热能,在晶片W上进行成膜。
其中,在上述实施方式中,以TiN膜的成膜处理为例进行了说明,但是并不限于此,成膜装置1还可以适用于Ti膜等其它膜的CVD成膜处理。
并且,可以理解为由上述成膜装置1的喷头4、其上方的冷却部件6及用于向该冷却部件6供给冷却气体的各配管、和加热器71构成的气体供给装置的发明。
其中,包括成膜装置1的本发明的成膜装置或上述气体供给装置,在进行如SFD那样,喷头4的温度由于来自平台加热器等处理空间侧的热量而高于控制对象温度的处理的情况下,特别有效。
<实施例>
下面,为了确认本发明的效果,验证以下的实施例和比较例。
在以下的实施例中,使用上述成膜装置1。另一方面,在比较例中,使用图12所示的现有例的成膜装置9。这里,除了以下说明的不同点之外,成膜装置9为与成膜装置1大致相同的结构。图12中,对于与成膜装置1具有相同结构的各部,使用与对成膜装置1使用的符号相同的符号。其中,省略了在成膜装置9中设置的控制部的图示,但是成膜装置9的控制部也与控制部100同样,控制成膜装置9的各部,使得在晶片W上形成TiN膜。
作为与成膜装置1的不同点,在成膜装置9中,在喷头4的上方,没有设置冷却部件6和盖27等。取而代之,在喷头4上叠层有加热器91。而且,在加热器91上叠层有绝热材料92。
并且,成膜装置9的喷头4,代替具有上述结构的隔板部51,设置有具有现有结构的隔板部93。对于隔板部93的结构使用图13进行说明。图13为表示构成图12的成膜装置9的喷头的隔板部93的上表面的立体图。如图13所示,在隔板部93的圆板部152的上表面,未设置凸起。取而代之,沿圆板部152的直径方向,设置有相互垂直的两根肋94。肋94的上表面与基体部41的下表面密接。隔板部93的直径与成膜装置1的隔板部51的直径相同,为340mm;但是隔板部93中与基体部41接触的面积合计为276cm2。其相当于隔板部93向基体部41的投影区域的面积的约30%,小于隔板部51与基体部41接触的面积。
<实施例1-1>
使用成膜装置1,作为本发明的实施方式,按照上述顺序,对晶片W进行TiN膜的成膜。在成膜处理中,加热器71的温度设定为165℃,从冷却气体供给源67向冷却部件6供给的冷却气体的流量设定为150L/min。而且,在喷头4的表面(与处理空间S接触的面)暂时贴上由热电偶构成的温度检测传感器(TC),改变平台3的晶片用加热器32的温度,并调整利用上述温度检测传感器(TC)检测出的喷头4的温度。
<比较例1-1>
使用成膜装置9,对晶片W进行TiN膜的成膜。在成膜处理中,改变平台3的晶片用加热器32的设定温度,同时调整利用安装在喷头104表面上的温度检测传感器(TC)检测出的该喷头104的温度。其中,喷头104上的加热器91的设定温度为170℃。
图14A为表示实施例1-1和比较例1-1的结果的曲线图。如图14A的曲线所示,如果较高地设定平台3的加热器32的温度,则与实施例1-1相比,在比较例1-1中,喷头104表面的利用温度检测传感器(TC)检测出的温度急剧升高。因此,根据该曲线可以说,与比较例1-1中的喷头104的表面温度相比,实施例1-1中的面向处理空间S的喷头4的表面温度得到抑制。
而且,实施例1-1的曲线的斜率小于比较例1-1的曲线的斜率。因此可以说,在实施例1-1中,与比较例1-1相比,喷头的温度上升得到抑制。
<实施例1-2>
在成膜装置1中,在面向处理空间S的喷头4的表面,预先形成TiN膜(预涂层)。使用这种成膜装置1,作为实施例1-2,作为本发明的实施方式,按照上述顺序,对晶片W进行TiN膜的成膜。成膜处理的各种条件与实施例1-1相同,并且,在喷头4的表面(与处理空间S接触的面)暂时贴上由热电偶构成的温度检测传感器(TC),改变平台3的晶片用加热器32的温度,并调整利用上述温度检测传感器(TC)检测出的喷头4的温度。
<比较例1-2>
与实施例1-2同样,在成膜装置9中,在面向处理空间S的喷头104的表面,预先形成TiN膜(预涂层)。使用这种成膜装置9,对晶片W进行TiN膜的成膜。成膜处理的各种条件与比较例1-1相同,并且,改变平台3的晶片用加热器32的设定温度,同时调整利用安装在喷头104表面上的温度检测传感器(TC)检测出的该喷头104的温度。
图14B为表示实施例1-2和比较例1-2的结果的曲线图。如图14B所示,与实施例1-2相比,在比较例1-2中,由上述温度检测传感器TC检测出的喷头4的温度升高。因此,由该曲线可知,与比较例1-2中的喷头104的表面温度相比,实施例1-2中的喷头4的表面温度得到抑制。
而且,在图14B的曲线的600℃~700℃附近的温度范围内,实施例1-2的曲线斜率小于比较例1-2的曲线斜率。因此可以说,在该温度范围内,在实施例1-2中,与比较例1-2相比,喷头的温度上升得到抑制。
<实施例2>
在实施例2中,使用上述成膜装置1,作为本发明的实施方式,按照上述顺序,对500片的晶片W依次进行TiN膜的成膜。在这些的成膜处理时,监测温度检测传感器4A显示的喷头4的温度变化和该喷头4上的加热器71的输出。其中,设定控制部100的程序,使得成膜处理中,为了维持传感器4A的温度为165℃,对加热器71的温度进行调整。
<比较例2>
在比较例2中,使用上述成膜装置9,作为本发明的实施方式,按照上述顺序,对500片的晶片W依次进行TiN膜的成膜。在这些的成膜处理时,监测温度检测传感器(与温度检测传感器4A同样设置)显示的喷头104的温度变化和该喷头104上的加热器92的输出。其中,设定成膜装置9的控制部的程序,使得在成膜处理中,为了维持上述温度检测传感器的温度为170℃,对加热器92的温度进行调整。
图15A为表示实施例2和比较例2的被监测的温度。在实施例2中,在按照设定的温度165℃推移。与此相对,在比较例2中,在处理刚刚开始后,温度超过设定的170℃,然后,随着时间的推移,温度进一步上升。
图15B为表示实施例2的加热器71的输出和比较例2的加热器92的输出。图15B的曲线的横轴所示的时间与图15A的曲线的横轴所示的时间对应。如图15B的曲线所示,实施例2的加热器71的输出,在处理刚刚开始后,上升至90%左右,然后,降低至50%左右,在该50%附近保持稳定。与此相对,在比较例2中,处理刚刚开始后,输出降低,至大约0%。
由图15A和图15B的曲线可知,在实施例2中,能够利用冷却部件6和加热器71,对喷头4进行稳定的温度控制。因此,适当地控制喷头4的与处理空间S相对的表面的温度,能够抑制其表面的TiN膜的成膜。另一方面,在比较例2中,即使加热器71的输出为零,喷头104的温度仍持续上升。即可知不能充分地控制喷头104的温度。
Claims (22)
1.一种成膜装置,其特征在于,包括:
腔室,其区分用于对基板实施成膜处理的处理空间;
平台,其设置于所述腔室内,用于载置所述基板;
基板用加热单元,其设置于所述平台上,用于对所述基板进行加热;
喷头,其与所述平台相对设置,且具有多个气体喷出孔;
气体供给机构,其通过所述喷头向所述腔室内供给处理气体;
冷却单元,其设置于所述喷头的上方,对该喷头进行冷却;和
喷头用加热单元,其设置于所述冷却单元的上方,隔着该冷却单元对所述喷头进行加热。
2.如权利要求1所述的成膜装置,其特征在于:
所述冷却单元,具有多个冷却用散热片、和向该冷却用散热片供给冷却用气体的冷却用气体供给路。
3.如权利要求2所述的成膜装置,其特征在于:
所述多个冷却用散热片各自沿横向方向呈板状立起,
所述多个冷却用散热片相互平行地排列,
所述冷却用气体供给路,用于使冷却用气体从所述多个冷却用散热片之间沿横向方向延伸的空隙的一端侧向另一端侧流通,具有在该一端侧开口的气体吹出口。
4.如权利要求1~3中任一项所述的成膜装置,其特征在于:
所述冷却单元和所述喷头用加热单元,收纳在具有排气口的筐体内。
5.如权利要求1~3中任一项所述的成膜装置,其特征在于:
所述喷头具有与所述多个气体喷出孔连通的气体扩散室,
所述气体扩散室内配置有用于所述喷头的上面侧部与下面侧部之间的热传导的多个柱部。
6.如权利要求1~3中任一项所述的成膜装置,其特征在于,还包括:
温度检测部,其用于检测与所述喷头的下表面对应的温度;和
控制部,其根据所述温度检测部的温度检测值,控制所述喷头用加热单元。
7.如权利要求1~3中任一项所述的成膜装置,其特征在于:
所述气体供给机构将第一处理气体和第二处理气体同时地分为多个循环向处理空间供给,或者将第一处理气体和第二处理气体分别地分为多个循环向处理空间供给。
8.如权利要求7所述的成膜装置,其特征在于:
所述第一处理气体是钛化合物的气体,
所述第二处理气体是氨气。
9.一种气体供给装置,其组装于包括腔室和平台的成膜装置使用,所述腔室区分用于对基板实施成膜处理的处理空间,所述平台设置于所述腔室内,用于载置所述基板,所述气体供给装置的特征在于,包括:
喷头,其与所述平台相对设置,且具有多个气体喷出孔;
冷却单元,其设置于所述喷头的上方,对该喷头进行冷却;和
喷头用加热单元,其设置于所述冷却单元的上方,隔着该冷却单元对所述喷头进行加热。
10.如权利要求9所述的气体供给装置,其特征在于:
所述冷却单元,具有多个冷却用散热片、和向该冷却用散热片供给冷却用气体的冷却用气体供给路。
11.如权利要求10所述的气体供给装置,其特征在于:
所述多个冷却用散热片各自沿横向方向呈板状立起,
所述多个冷却用散热片相互平行地排列,
所述冷却用气体供给路,用于使冷却用气体从所述多个冷却用散热片之间沿横向方向延伸的空隙的一端侧向另一端侧流通,具有在该一端侧开口的气体吹出口。
12.如权利要求9~11中任一项所述的气体供给装置,其特征在于:
所述冷却单元和所述喷头用加热单元,收纳在具有排气口的筐体内。
13.如权利要求9~11中任一项所述的气体供给装置,其特征在于:
所述喷头具有与所述多个气体喷出孔连通的气体扩散室,
所述气体扩散室内配置有用于所述喷头的上面侧部与下面侧部之间的热传导的多个柱部。
14.如权利要求9~11中任一项所述的气体供给装置,其特征在于,还包括:
温度检测部,其用于检测与所述喷头的下表面对应的温度;和
控制部,其根据所述温度检测部的温度检测值,控制所述喷头用加热单元。
15.一种成膜方法,使用成膜装置对基板实施成膜处理,该成膜装置包括:
腔室,其区分用于对基板实施成膜处理的处理空间;
平台,其设置于所述腔室内,用于载置所述基板;
基板用加热单元,其设置于所述平台上,用于对所述基板进行加热;
喷头,其与所述平台相对设置,且具有多个气体喷出孔;
气体供给机构,其通过所述喷头向所述腔室内供给处理气体;
冷却单元,其设置于所述喷头的上方,对该喷头进行冷却;和
喷头用加热单元,其设置于所述冷却单元的上方,隔着该冷却单元对所述喷头进行加热,
所述成膜方法的特征在于,包括:
在平台上载置基板的工序;
利用所述基板用加热单元对所述基板进行加热的工序;
利用所述气体供给机构,通过所述喷头向所述腔室内供给处理气体的工序;
利用设置于所述喷头上方的所述冷却单元,对所述喷头进行冷却的工序;和
利用设置于所述冷却单元上方的所述喷头用加热单元,隔着所述冷却单元对所述喷头进行加热的工序。
16.如权利要求15所述的成膜方法,其特征在于:
所述冷却单元,具有多个冷却用散热片、和向该冷却用散热片供给冷却用气体的冷却用气体供给路,
利用所述冷却单元冷却所述喷头的工序,包括向所述冷却用散热片供给所述冷却用气体的工序。
17.如权利要求16所述的成膜方法,其特征在于:
所述多个冷却用散热片各自沿横向方向呈板状立起,
所述多个冷却用散热片相互平行地排列,
所述冷却用气体供给路,用于使冷却用气体从所述多个冷却用散热片之间沿横向方向延伸的空隙的一端侧向另一端侧流通,具有在该一端侧开口的气体吹出口。
18.如权利要求15~17中任一项所述的成膜方法,其特征在于:
所述冷却单元和所述喷头用加热单元,收纳在具有排气口的筐体内。
19.如权利要求15~17中任一项所述的成膜方法,其特征在于:
所述喷头具有与所述多个气体喷出孔连通的气体扩散室,
所述气体扩散室内配置有用于所述喷头的上面侧部与下面侧部之间的热传导的多个柱部。
20.如权利要求15~17中任一项所述的成膜方法,其特征在于:
所述成膜装置还包括:温度检测部,其用于检测与所述喷头的下表面对应的温度;和控制部,其根据所述温度检测部的温度检测值,控制所述喷头用加热单元,
所述成膜方法还包括:利用所述温度检测部,检测与所述喷头的下表面对应的温度的工序;和利用所述控制部,根据所述温度检测部的温度检测值,控制所述喷头用加热单元的工序。
21.如权利要求15~17中任一项所述的成膜方法,其特征在于:
利用所述气体供给机构,通过所述喷头向所述腔室内供给处理气体的工序包括:将第一处理气体和第二处理气体同时地分为多个循环向处理空间供给,或者将第一处理气体和第二处理气体分别地分为多个循环向处理空间供给,在基板上反复叠层薄膜的成分层的工序。
22.如权利要求21所述的成膜方法,其特征在于:
所述第一处理气体是钛化合物的气体,
所述第二处理气体是氨气。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP170585/2006 | 2006-06-20 | ||
JP2006170585A JP5045000B2 (ja) | 2006-06-20 | 2006-06-20 | 成膜装置、ガス供給装置、成膜方法及び記憶媒体 |
PCT/JP2007/062328 WO2007148692A1 (ja) | 2006-06-20 | 2007-06-19 | 成膜装置及び成膜方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101365823A CN101365823A (zh) | 2009-02-11 |
CN101365823B true CN101365823B (zh) | 2012-07-18 |
Family
ID=38833434
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2007800020357A Active CN101365823B (zh) | 2006-06-20 | 2007-06-19 | 成膜装置和成膜方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US8133323B2 (zh) |
JP (1) | JP5045000B2 (zh) |
KR (1) | KR101089977B1 (zh) |
CN (1) | CN101365823B (zh) |
TW (1) | TWI427679B (zh) |
WO (1) | WO2007148692A1 (zh) |
Families Citing this family (386)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP5202050B2 (ja) * | 2008-03-14 | 2013-06-05 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
FR2930561B1 (fr) * | 2008-04-28 | 2011-01-14 | Altatech Semiconductor | Dispositif et procede de traitement chimique en phase vapeur. |
TWI461568B (zh) * | 2008-05-28 | 2014-11-21 | Aixtron Inc | 熱梯度加強化學氣相沈積 |
US20110070370A1 (en) | 2008-05-28 | 2011-03-24 | Aixtron Ag | Thermal gradient enhanced chemical vapour deposition (tge-cvd) |
JP2010016225A (ja) * | 2008-07-04 | 2010-01-21 | Tokyo Electron Ltd | 温度調節機構および温度調節機構を用いた半導体製造装置 |
JP5231117B2 (ja) * | 2008-07-24 | 2013-07-10 | 株式会社ニューフレアテクノロジー | 成膜装置および成膜方法 |
JP5106331B2 (ja) | 2008-09-16 | 2012-12-26 | 東京エレクトロン株式会社 | 基板載置台の降温方法、コンピュータ読み取り可能な記憶媒体および基板処理システム |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8931431B2 (en) * | 2009-03-25 | 2015-01-13 | The Regents Of The University Of Michigan | Nozzle geometry for organic vapor jet printing |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
KR101108879B1 (ko) * | 2009-08-31 | 2012-01-30 | 주식회사 원익아이피에스 | 가스분사장치 및 이를 이용한 기판처리장치 |
US20110065276A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
US20110061810A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
US20110061812A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
JP5323628B2 (ja) * | 2009-09-17 | 2013-10-23 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9449859B2 (en) * | 2009-10-09 | 2016-09-20 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
JP2011168881A (ja) * | 2010-01-25 | 2011-09-01 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
JP5409413B2 (ja) * | 2010-01-26 | 2014-02-05 | 日本パイオニクス株式会社 | Iii族窒化物半導体の気相成長装置 |
US9441295B2 (en) * | 2010-05-14 | 2016-09-13 | Solarcity Corporation | Multi-channel gas-delivery system |
JP5982758B2 (ja) * | 2011-02-23 | 2016-08-31 | 東京エレクトロン株式会社 | マイクロ波照射装置 |
US20120225203A1 (en) * | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
CN103014667B (zh) * | 2011-09-23 | 2015-07-01 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
CN103014665B (zh) * | 2011-09-23 | 2015-02-18 | 理想能源设备(上海)有限公司 | 金属有机化合物化学气相沉积装置及其气体输送方法 |
CN103014666B (zh) * | 2011-09-23 | 2014-11-26 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
CN103014668B (zh) * | 2011-09-23 | 2014-12-24 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
CN103014669B (zh) * | 2011-09-23 | 2014-11-26 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
JP6038618B2 (ja) * | 2011-12-15 | 2016-12-07 | 株式会社ニューフレアテクノロジー | 成膜装置および成膜方法 |
KR101327458B1 (ko) * | 2012-01-10 | 2013-11-08 | 주식회사 유진테크 | 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치 |
CN103388132B (zh) * | 2012-05-11 | 2015-11-25 | 中微半导体设备(上海)有限公司 | 气体喷淋头、其制造方法及薄膜生长反应器 |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) * | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) * | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
KR102231596B1 (ko) * | 2013-02-06 | 2021-03-25 | 어플라이드 머티어리얼스, 인코포레이티드 | 가스 주입 장치 및 가스 주입 장치를 포함한 기판 프로세스 챔버 |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
JP2013110440A (ja) * | 2013-03-11 | 2013-06-06 | Tokyo Electron Ltd | 電極ユニット及び基板処理装置 |
TWI627305B (zh) * | 2013-03-15 | 2018-06-21 | 應用材料股份有限公司 | 用於轉盤處理室之具有剛性板的大氣蓋 |
JP2014192372A (ja) * | 2013-03-27 | 2014-10-06 | Tokyo Electron Ltd | マイクロ波加熱処理装置 |
KR101467195B1 (ko) * | 2013-05-14 | 2014-12-01 | 주식회사 아바코 | 가스 분사기 및 이를 포함하는 박막 증착 장치 |
KR101526505B1 (ko) * | 2013-06-20 | 2015-06-09 | 피에스케이 주식회사 | 냉각 유닛 및 이를 이용한 냉각 방법, 기판 처리 장치 및 이를 이용한 기판 처리 방법 |
JP6180208B2 (ja) * | 2013-07-08 | 2017-08-16 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
KR20150055227A (ko) * | 2013-11-13 | 2015-05-21 | 삼성전자주식회사 | 샤워 헤드 및 이를 포함하는 기판 처리 장치 |
JP6135475B2 (ja) * | 2013-11-20 | 2017-05-31 | 東京エレクトロン株式会社 | ガス供給装置、成膜装置、ガス供給方法及び記憶媒体 |
JP5971870B2 (ja) | 2013-11-29 | 2016-08-17 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び記録媒体 |
JP5726281B1 (ja) * | 2013-12-27 | 2015-05-27 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
JP6123688B2 (ja) * | 2014-01-29 | 2017-05-10 | 東京エレクトロン株式会社 | 成膜装置 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
FR3018825B1 (fr) * | 2014-03-21 | 2017-09-01 | Altatech Semiconductor | Procede de depot en phase gazeuse |
KR102451499B1 (ko) * | 2014-05-16 | 2022-10-06 | 어플라이드 머티어리얼스, 인코포레이티드 | 샤워헤드 설계 |
US9911579B2 (en) | 2014-07-03 | 2018-03-06 | Applied Materials, Inc. | Showerhead having a detachable high resistivity gas distribution plate |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10407771B2 (en) * | 2014-10-06 | 2019-09-10 | Applied Materials, Inc. | Atomic layer deposition chamber with thermal lid |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6193284B2 (ja) * | 2015-03-18 | 2017-09-06 | 株式会社東芝 | 流路構造、吸排気部材、及び処理装置 |
CN104789943A (zh) * | 2015-04-01 | 2015-07-22 | 沈阳拓荆科技有限公司 | 控温型双气体通道均匀喷气喷淋板 |
US9972740B2 (en) | 2015-06-07 | 2018-05-15 | Tesla, Inc. | Chemical vapor deposition tool and process for fabrication of photovoltaic structures |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10204790B2 (en) | 2015-07-28 | 2019-02-12 | Asm Ip Holding B.V. | Methods for thin film deposition |
US20170029948A1 (en) * | 2015-07-28 | 2017-02-02 | Asm Ip Holding B.V. | Methods and apparatuses for temperature-indexed thin film deposition |
US11421321B2 (en) | 2015-07-28 | 2022-08-23 | Asm Ip Holding B.V. | Apparatuses for thin film deposition |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US9748434B1 (en) | 2016-05-24 | 2017-08-29 | Tesla, Inc. | Systems, method and apparatus for curing conductive paste |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
EP3255173B1 (de) * | 2016-06-06 | 2018-11-21 | Meyer Burger (Germany) AG | Fluidtemperierter gasverteiler in schichtbauweise |
JP6696322B2 (ja) * | 2016-06-24 | 2020-05-20 | 東京エレクトロン株式会社 | ガス処理装置、ガス処理方法及び記憶媒体 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9954136B2 (en) | 2016-08-03 | 2018-04-24 | Tesla, Inc. | Cassette optimized for an inline annealing system |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10115856B2 (en) | 2016-10-31 | 2018-10-30 | Tesla, Inc. | System and method for curing conductive paste using induction heating |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
WO2018083989A1 (ja) * | 2016-11-02 | 2018-05-11 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102096700B1 (ko) * | 2017-03-29 | 2020-04-02 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 장치 및 기판 처리 방법 |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
JP7093667B2 (ja) * | 2018-04-11 | 2022-06-30 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
JP6715894B2 (ja) * | 2018-08-07 | 2020-07-01 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
JP7224175B2 (ja) * | 2018-12-26 | 2023-02-17 | 東京エレクトロン株式会社 | 成膜装置及び方法 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
WO2020185401A1 (en) * | 2019-03-11 | 2020-09-17 | Applied Materials, Inc. | Lid assembly apparatus and methods for substrate processing chambers |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
KR102268559B1 (ko) * | 2019-07-03 | 2021-06-22 | 세메스 주식회사 | 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US20220093361A1 (en) * | 2020-09-22 | 2022-03-24 | Applied Materials, Inc. | Showerhead assembly with recursive gas channels |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
JP2023544116A (ja) * | 2020-09-25 | 2023-10-20 | ラム リサーチ コーポレーション | 高温プロセスのための軸方向に冷却される金属シャワーヘッド |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11705312B2 (en) * | 2020-12-26 | 2023-07-18 | Applied Materials, Inc. | Vertically adjustable plasma source |
JP7114763B1 (ja) * | 2021-02-15 | 2022-08-08 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法 |
CN113136568B (zh) * | 2021-04-07 | 2022-10-11 | 拓荆科技股份有限公司 | 一种节能型主动控温喷淋头 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
WO2023283375A1 (en) * | 2021-07-08 | 2023-01-12 | Applied Materials, Inc. | Showerhead assembly with recursive gas channels |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
JPWO2023054531A1 (zh) * | 2021-09-29 | 2023-04-06 | ||
EP4190938B1 (en) * | 2021-12-03 | 2024-03-06 | Semsysco GmbH | Distribution body for distributing a process gas for treating a substrate by means of the process gas |
JP2024134695A (ja) | 2023-03-22 | 2024-10-04 | 東京エレクトロン株式会社 | プラズマ処理装置 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2005045913A1 (ja) * | 2003-11-05 | 2005-05-19 | Tokyo Electron Limited | プラズマ処理装置 |
WO2005119749A1 (ja) * | 2004-06-04 | 2005-12-15 | Tokyo Electron Limited | ガス処理装置および成膜装置 |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4590042A (en) * | 1984-12-24 | 1986-05-20 | Tegal Corporation | Plasma reactor having slotted manifold |
US4793975A (en) * | 1985-05-20 | 1988-12-27 | Tegal Corporation | Plasma Reactor with removable insert |
JP2747036B2 (ja) * | 1989-07-07 | 1998-05-06 | 日本電信電話株式会社 | 薄膜形成方法 |
DE4011933C2 (de) * | 1990-04-12 | 1996-11-21 | Balzers Hochvakuum | Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür |
US5647945A (en) * | 1993-08-25 | 1997-07-15 | Tokyo Electron Limited | Vacuum processing apparatus |
KR100427425B1 (ko) * | 1995-04-20 | 2005-08-01 | 가부시키 가이샤 에바라 세이사꾸쇼 | 박막증착장치 |
US5950925A (en) * | 1996-10-11 | 1999-09-14 | Ebara Corporation | Reactant gas ejector head |
US6537418B1 (en) * | 1997-09-19 | 2003-03-25 | Siemens Aktiengesellschaft | Spatially uniform gas supply and pump configuration for large wafer diameters |
US6190732B1 (en) * | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
US6700089B1 (en) * | 1999-03-30 | 2004-03-02 | Tokyo Electron Limited | Plasma processing device, its maintenance method, and its installation method |
EP1193751B1 (en) * | 1999-04-06 | 2006-05-17 | Tokyo Electron Limited | Electrode and method of manufacturing an electrode |
KR100302609B1 (ko) * | 1999-05-10 | 2001-09-13 | 김영환 | 온도가변 가스 분사 장치 |
US6759325B2 (en) * | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
KR100444149B1 (ko) * | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | Ald 박막증착설비용 클리닝방법 |
US6821910B2 (en) * | 2000-07-24 | 2004-11-23 | University Of Maryland, College Park | Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation |
TW200819555A (en) * | 2000-09-08 | 2008-05-01 | Tokyo Electron Ltd | Shower head structure, device and method for film formation, and method for cleaning |
KR100735932B1 (ko) * | 2001-02-09 | 2007-07-06 | 동경 엘렉트론 주식회사 | 성막 장치 |
JP4260404B2 (ja) * | 2001-02-09 | 2009-04-30 | 東京エレクトロン株式会社 | 成膜装置 |
JP4121269B2 (ja) * | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
JP3869778B2 (ja) * | 2002-09-11 | 2007-01-17 | エア・ウォーター株式会社 | 成膜装置 |
JP2005019606A (ja) * | 2003-06-25 | 2005-01-20 | Anelva Corp | プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置 |
EP1667217A1 (en) * | 2003-09-03 | 2006-06-07 | Tokyo Electron Limited | Gas treatment device and heat readiting method |
US7408225B2 (en) * | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US7273526B2 (en) * | 2004-04-15 | 2007-09-25 | Asm Japan K.K. | Thin-film deposition apparatus |
US7712434B2 (en) * | 2004-04-30 | 2010-05-11 | Lam Research Corporation | Apparatus including showerhead electrode and heater for plasma processing |
KR100587686B1 (ko) | 2004-07-15 | 2006-06-08 | 삼성전자주식회사 | 질화 티타늄막 형성방법 및 이를 이용한 커패시터 제조방법 |
KR100628888B1 (ko) * | 2004-12-27 | 2006-09-26 | 삼성전자주식회사 | 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치 |
US7608549B2 (en) * | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
US7718030B2 (en) * | 2005-09-23 | 2010-05-18 | Tokyo Electron Limited | Method and system for controlling radical distribution |
JP5044931B2 (ja) * | 2005-10-31 | 2012-10-10 | 東京エレクトロン株式会社 | ガス供給装置及び基板処理装置 |
-
2006
- 2006-06-20 JP JP2006170585A patent/JP5045000B2/ja active Active
-
2007
- 2007-06-19 CN CN2007800020357A patent/CN101365823B/zh active Active
- 2007-06-19 WO PCT/JP2007/062328 patent/WO2007148692A1/ja active Application Filing
- 2007-06-19 KR KR1020087030964A patent/KR101089977B1/ko active IP Right Grant
- 2007-06-20 TW TW096122094A patent/TWI427679B/zh active
-
2008
- 2008-12-19 US US12/340,058 patent/US8133323B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2005045913A1 (ja) * | 2003-11-05 | 2005-05-19 | Tokyo Electron Limited | プラズマ処理装置 |
WO2005119749A1 (ja) * | 2004-06-04 | 2005-12-15 | Tokyo Electron Limited | ガス処理装置および成膜装置 |
Also Published As
Publication number | Publication date |
---|---|
US20090104351A1 (en) | 2009-04-23 |
KR101089977B1 (ko) | 2011-12-05 |
JP2008001923A (ja) | 2008-01-10 |
TWI427679B (zh) | 2014-02-21 |
CN101365823A (zh) | 2009-02-11 |
JP5045000B2 (ja) | 2012-10-10 |
KR20090017622A (ko) | 2009-02-18 |
TW200814149A (en) | 2008-03-16 |
US8133323B2 (en) | 2012-03-13 |
WO2007148692A1 (ja) | 2007-12-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101365823B (zh) | 成膜装置和成膜方法 | |
EP1371751B1 (en) | Film forming device | |
KR100901892B1 (ko) | 가스 처리 장치 및 처리 가스 토출 구조체 | |
TWI489546B (zh) | 將氣體徑向傳送至腔室之裝置 | |
US9518322B2 (en) | Film formation apparatus and film formation method | |
TWI828737B (zh) | 用於提供多種材料至處理腔室的噴淋頭 | |
KR101247918B1 (ko) | 샤워헤드, 박막제조장치 및 제조방법 | |
TWI575100B (zh) | 基板處理設備 | |
US9422624B2 (en) | Heat treatment method | |
US8815016B2 (en) | Heating unit and substrate processing apparatus having the same | |
KR101771228B1 (ko) | 원료 물질 공급 장치 및 이를 구비하는 기판 처리 장치 | |
TWI825173B (zh) | 噴淋頭組件及藉由分段式噴淋頭引入前驅物的方法 | |
US9091491B2 (en) | Cooling plates and semiconductor apparatus thereof | |
TW201535563A (zh) | 基板處理裝置、噴淋板及基板處理方法 | |
KR20100033253A (ko) | 기판 처리 장치 및 방법 | |
KR20150046966A (ko) | 플라즈마 처리 장치 및 플라즈마 처리 방법 | |
JP5052206B2 (ja) | Cvd装置 | |
KR200298458Y1 (ko) | 반도체 제조 설비의 공정 챔버 | |
US8900991B2 (en) | Film forming method and storage medium | |
JP4616734B2 (ja) | 基板処理装置 | |
JP2013201333A (ja) | 基板処理装置、半導体装置の製造方法及び基板処理方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |