TWI715572B - 用於沉積低k及低濕式蝕刻率介電薄膜的方法 - Google Patents

用於沉積低k及低濕式蝕刻率介電薄膜的方法 Download PDF

Info

Publication number
TWI715572B
TWI715572B TW105113665A TW105113665A TWI715572B TW I715572 B TWI715572 B TW I715572B TW 105113665 A TW105113665 A TW 105113665A TW 105113665 A TW105113665 A TW 105113665A TW I715572 B TWI715572 B TW I715572B
Authority
TW
Taiwan
Prior art keywords
silicon
carbon
film
processing method
containing precursor
Prior art date
Application number
TW105113665A
Other languages
English (en)
Other versions
TW201702416A (zh
Inventor
李寧
薩利馬克
湯普森大衛
巴賽諾米海拉
夏立群
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201702416A publication Critical patent/TW201702416A/zh
Application granted granted Critical
Publication of TWI715572B publication Critical patent/TWI715572B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本案介紹用於SiCN、SiCO及SiCON膜成型之方法,該等方法包括基板表面循環曝露於含矽氣體、含碳氣體及電漿。一些實施例進一步包括在至少電漿曝露之前添加氧化劑。

Description

用於沉積低k及低濕式蝕刻率介電薄膜的方法
本揭示案大體係關於沉積薄膜之方法。特定而言,本揭示案係關於用於沉積包括SiCN、SiCO及/或SiCON之薄膜之原子層沉積製程。
氮化矽膜可在電晶體製造中作為氮化物間隔物或在記憶體中作為浮動閘極而起重要作用。為了在奈米級高深寬比結構上沉積具有優良步階覆蓋的該等薄膜,需要被稱為原子層沉積(Atomic Layer Deposition;ALD)之膜沉積。ALD是藉由順序地脈衝由惰性淨化分隔的兩種或兩種以上前驅物而進行的膜沉積。此舉允許膜生長逐層進行,但受表面活性位點所限制。以此方式之膜生長允許對包括再進入特徵的複雜結構之厚度控制。
具有低介電常數(低k)及低濕式蝕刻率(在稀HF溶液中)特性的介電薄膜,如SiCN或SiCON,可在半導體工業之前端製程中用作間隔物材料。由於不良的膜組分控制,如氫污染及/或碳在膜中之不同鍵結狀態,大部分薄膜特性不能滿足實際需求。沉積在三維溝 槽上之膜的不良保形性亦是已知的。因此,本領域中需要低k及低濕式蝕刻率SiCN、SiCO及SiCON膜。
本揭示案之一或更多個實施例係針對處理方法。基板表面之至少一部分曝露於含矽前驅物以形成第一含矽膜。第一含矽膜曝露於含碳前驅物以形成第二含矽膜。第二含矽膜包括碳。第二含矽膜曝露於電漿以形成矽碳膜。
本揭示案之額外實施例係針對處理方法,該等方法包括將基板表面曝露於至少兩個沉積循環。每一沉積循環包括將基板表面之至少一部分曝露於含矽前驅物以形成第一含矽膜。含矽膜曝露於主要由碳及氮原子組成之含碳前驅物以形成第二含矽膜。第二含矽膜曝露於電漿以形成碳氮化矽膜。
本揭示案之其他實施例係針對處理方法,該等方法包括將具有基板表面之基板置於處理腔室內。處理腔室包括複數個區段,其中每一區段由氣簾隔離於相鄰區段。基板表面之至少一部分在處理腔室之第一區段中曝露於第一製程條件。第一製程條件包括含矽前驅物以形成第一含矽膜。基板表面橫向移動穿過氣簾至處理腔室之第二區段。第一含矽膜曝露於含碳前驅物以形成第二含矽膜。含碳前驅物主要由碳及氮原子組成以形成第二含矽膜。具有第二含矽膜之基板表面橫向移動穿過至少一個氣簾至處理腔室之第三區段或第四區段。第二 含矽膜曝露於電漿,該電漿包括惰性氣體且視需要可包括含氫、含氮及含氧物種中之一或更多者,以形成碳氮化矽或氧碳氮化矽膜。基板表面從處理腔室之第三區段或第四區段橫向移動穿過氣簾。重複曝露於第一區段、第二區段及第三區段或第四區段,包括重複基板表面之橫向移動以形成具有預定厚度的碳氮化矽或氧碳氮化矽膜。
17‧‧‧旋轉
60‧‧‧基板
61‧‧‧頂表面
84‧‧‧區域
100‧‧‧處理腔室
120‧‧‧氣體分佈組合件
121‧‧‧前表面
122‧‧‧注入器單元
123‧‧‧內部周緣
124‧‧‧外緣
125‧‧‧第一反應性氣體埠
127‧‧‧路徑
135‧‧‧第二反應性氣體埠
140‧‧‧基座組合件
141‧‧‧頂表面
142‧‧‧凹槽
143‧‧‧底表面
144‧‧‧邊緣
145‧‧‧真空埠
150‧‧‧氣簾
155‧‧‧淨化氣體埠
160‧‧‧支柱
162‧‧‧微調致動器
180‧‧‧負載鎖定腔室
220‧‧‧氣體分佈組合件
250‧‧‧處理區域
250a‧‧‧第一處理區域
250b‧‧‧處理區域
250c‧‧‧處理區域
250d‧‧‧處理區域
250e‧‧‧處理區域
250f‧‧‧處理區域
250g‧‧‧處理區域
250h‧‧‧處理區域
280‧‧‧工廠介面
為詳細理解本揭示案之上述特徵,可藉由參考實施例對上文中簡短概述之本揭示案進行更特定之描述,該等實施例中之一些在附圖中進行圖示。然而,將注意,附圖僅圖示本揭示案之典型實施例,因此將不被視作限制本揭示案之範疇,因為本揭示案可承認其他同等有效的實施例。
第1圖圖示依據本揭示案之一或更多個實施例的分批處理腔室之橫剖面視圖;第2圖圖示依據本揭示案之一或更多個實施例的分批處理腔室之部分透視圖;第3圖圖示依據本揭示案之一或更多個實施例的分批處理腔室之示意圖;第4圖圖示楔形氣體分佈組合件之一部分的示意圖,該組合件用於依據本揭示案之一或更多個實施例的分批處理腔室;及 第5圖圖示依據本揭示案之一或更多個實施例的分批處理腔室之示意圖。
在描述本揭示案的數個示例性實施例之前,將理解,本揭示案並非限定於以下描述中闡述之構造細節或製程步驟。本揭示案能够具有其他實施例,並能够以多種方式實施或執行。亦將理解,本揭示案之錯合物及配位體可在本文中藉由使用具有特定立體化學之結構式進行說明。該等圖解僅以示例為目的,且將不被視作將所揭示之結構限制於任何特定的立體化學。更確切些,所圖示結構旨在包含具有所指示化學式之全部該種錯合物及配位體。
發明者已驚喜發現空間原子層沉積製程可形成低k及低濕式蝕刻率(wet etch rate;WER)之SiCN、SiCO及SiCON膜。如本說明書及所附的申請專利範圍中所使用,術語SiCN之使用僅意謂著膜具有矽、碳及氮原子,而不暗示化學計量的量。SiCO及SiCON的使用亦涉及原子組分,而非化學計量的量。膜可具有其他存在的原子,除非另有陳述。通常,另一原子並非以會影響膜特性之量而存在。在描述前驅物或膜組成僅涉及矽、碳、氧及氮原子之原子百分數時,使用術語「主要由...組成」。例如,主要由碳及氮原子組成之前驅物意謂著大體上沒有氧原子。前驅物可具有其他元素,如氫。使用「大體上沒有氧原子」等等意謂著氧 原子不以大於約2原子%、1原子%、0.5原子%或0.1原子%之量存在。
如在本文中所使用之「基板」係指任何基板或在基板上形成之任何材料表面,在製造流程期間,在該基板或材料表面上執行膜處理。例如,可在其上執行處理之基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator;SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石之材料,及諸如金屬、金屬氮化物、金屬合金,以及其他導電性材料之任何其他材料,具體依據應用而定。基板包括但不限定於半導體晶圓。基板可曝露於預處理製程以研磨、蝕刻、還原、氧化、羥化、退火及/或烘焙基板表面。除直接在基板自身之表面上進行膜處理之外,在本揭示案中,亦可在形成於該基板上之底層上執行所揭示之膜處理步驟中之任何步驟,如下文中更詳細之揭示,且術語「基板表面」意欲包括該種底層,如上下文中所指示。由此,舉例而言,在膜/層或部分之膜/層已在基板表面上沉積之情況下,新近沉積之膜/層的曝露表面成為基板表面。
根據一或更多個實施例,該方法使用原子層沉積(atomic layer deposition;ALD)製程。在該等實施例中,基板表面連續或大體上連續曝露於前驅物(或反應性氣體)。如在此說明書全文中所使用,「大體上連續」意謂著前驅物曝露之歷時之大部分並未與在 共反應物下之曝露重疊,儘管可能存在一些重疊。如本說明書及所附申請專利範圍中使用,術語「前驅物」、「反應物」、「反應性氣體」等等可互換使用以指示可能與基板表面反應之任何氣態物種。
本揭示案之一或更多個實施例係針對處理方法,該等方法包括使基板表面連續曝露於含矽前驅物及含碳前驅物及電漿。含矽前驅物、含碳前驅物及電漿之連續曝露形成矽碳膜。針對此情況所使用之「矽碳膜」係指包括矽及碳原子之膜,但並非僅限定於矽及碳。在一些實施例中,基板表面之至少一部分曝露於含矽前驅物以形成第一含矽膜。然後,第一含矽膜曝露於含碳前驅物以形成包括矽及碳的第二含矽膜。在不受任何特定操作理論約束之情況下,咸信,膜具有有害封端物,如氯化物封端或OH封端組分。隨後曝露於電漿導致膜交聯且移除該等有害封端中之大部分(如非全部)。
含矽前驅物可包括任何適合的矽前驅物,該前驅物可與基板表面反應。含矽前驅物可經鹵化或非鹵化。鹵化前驅物意謂著至少一個鹵素原子鍵接至矽原子。適合的鹵化矽包括但並非限定於SiCl4、一氯矽烷、二氯矽烷、三氯矽烷、矽烷、二矽烷、有機矽酸鹽、胺基矽烷及有機矽烷。在一些實施例中,含矽前驅物主要由鹵化矽組成。如就此情況之使用,「主要由鹵化矽組成」意謂著氣流大體上僅包含鹵化矽以作為表面活性組分。亦可包括例如載氣之其他非反應性氣體。
含碳前驅物可包括任何適合的含碳物種,該等物種可與基板表面或表面上之含矽膜反應。適合實例包括但並非限定於四氯化碳、二氧化碳、烷烴、乙二胺及乙炔。在一些實施例中,含碳前驅物包括一化合物,該化合物具有碳及氮原子,例如乙二胺。
在一或更多個實施例中,含碳前驅物主要由碳及氮原子組成,意謂著大體上沒有氧原子存在於前驅物中。在一些實施例中,含碳前驅物主要由乙二胺組成。例如,該種前驅物可能可用於SiCN膜之沉積。在一些實施例中,含碳前驅物包括烷基胺、二胺、聚胺及/或環胺中之一或更多者。胺類可為一級胺、二級胺、三級胺或雜環胺。
在一或更多個實施例中,含碳前驅物包括具有碳及氧原子之化合物。例如,該種前驅物可能可用於SiCO膜之沉積。在一些實施例中,含碳前驅物大體上不包括碳原子,或前驅物主要由碳及氧原子組成,意謂著大體上沒有氮原子。例如,含碳前驅物可包括CO2、醇及/或醚中之一或更多者。
在一些實施例中,含碳前驅物包括碳、氧及氮原子。例如,該種前驅物可能可用於SiCON膜之沉積。在一或更多個實施例中,含碳前驅物包括烷基胺基-醇或具有碳與氮原子及/或碳與氧原子之化合物的混合物中之一或更多者。
電漿可為任何適合的電漿物種。在一些實施例中,電漿包括至少一個惰性物種。例如,氬電漿。在一些實施例中,電漿進一步包括與膜反應的物種,如氫或氧。在一些實施例中,電漿包括至少一種惰性物種及氧物種,而矽碳膜進一步包括氧。在一或更多個實施例中,電漿包括至少一種惰性物種及含氮前驅物,而矽碳膜進一步包括氮。
在一些實施例中,電漿包括氦、氖、氬或氪中之一或更多者。在一或更多個實施例中,電漿進一步包括氫、氨及/或氮中之一或更多者。例如,電漿可包括氬/氮、氬/氫及/或氬/氮/氨混合物。發明者已驚喜發現電漿組成(例如物種及相對濃度)可能影響膜特性。
電漿功率亦對膜特性具有令人驚訝的效應。可使用任何適合之電漿頻率或功率。在一些實施例中,電漿功率在約25瓦特至約300瓦特之範圍中,或在約50瓦特至約200瓦特之範圍中,或約200瓦特、約100瓦特,或約50瓦特。
在一些實施例中,第一含矽膜及/或第二含矽膜在曝露於電漿之前曝露於氧源。例如,在第一含矽膜曝露於乙二胺之後,膜可曝露於水蒸汽以在膜內包括氧。在電漿期間或在電漿曝露之前,可完成此操作。適合氧源包括但並非限定於氧、二氧化碳、水及臭氧。
可在任何適合溫度下執行處理方法。在一些實施例中,全部處理部分獨立地處於約200℃與約650 ℃之範圍內。已發現,矽碳膜可在比預期更低溫度下沉積。在一些實施例中,全部處理條件獨立地小於或等於約500℃、450℃、400℃或350℃。
所形成的矽碳膜具有某些特性,該等特性製造具有低濕式蝕刻率、低介電常數及高熱穩定性的膜,及/或形成具有優良保形性的膜。在一些實施例中,碳氮化矽膜經形成且在稀HF中具有小於約0.5,或約0.4,或約0.3,或約0.2,或約0.1,或約0.05之濕式蝕刻率比(wet etch rate ratio;WERR)。藉由使用稀HF(例如1:100 HF)測量相對於熱氧化矽膜的濕式蝕刻率比。
所形成的矽碳膜具有極佳生長率。在一些實施例中,氮化矽薄膜具有大於或等於約0.2Å/循環,或大於或等於約0.25Å/循環,或大於或等於約0.3Å/循環,或大於或等於約0.35Å/循環,或大於或等於約0.4Å/循環,或大於或等於約0.45Å/循環之生長率。
本揭示案之一些實施例係針對藉由使用分批處理腔室的氮化矽膜沉積,該分批處理腔室亦被稱作空間ALD腔室。第1圖圖示處理腔室100之橫剖面,該腔室包括氣體分佈組合件120及基座組合件140,該氣體分佈組合件120亦被稱作注入器或注入器組合件。氣體分佈組合件120是用於處理腔室中的任何類型之氣體輸送裝置。氣體分佈組合件120包括面對基座組合件140的前表面121。前表面121可具有任何量或種類之開 口,用以向基座組合件140輸送氣流。氣體分佈組合件120亦包括外緣124,該外緣在圖示實施例中大體上為圓形。
所使用的特定類型之氣體分佈組合件120可依據使用的特定製程而改變。本揭示案之實施例可用於任何類型之處理系統,在該系統中控制基座與氣體分佈組合件之間的縫隙。儘管可使用各種類型之氣體分佈組合件(例如噴淋頭),但本揭示案之實施例尤其可用於具有複數個大體上平行氣體通道的空間ALD氣體分佈組合件。如本說明書及所附申請專利範圍中使用,術語「大體上平行」意謂著氣體通道的伸長軸在同一大體方向延伸。氣體通道之平行中可能略有不足。複數個大體平行氣體通道可包括至少一個第一反應性氣體A通道、至少一個第二反應性氣體B通道、至少一個淨化氣體P通道及/或至少一個真空V通道。來自第一反應性氣體A通道、第二反應性氣體B通道及淨化氣體P通道的氣體被導向晶圓頂表面。一些氣流水平移動經過晶圓表面並經由淨化氣體P通道離開處理區域。從氣體分佈組合件之一端移至另一端的基板將依次曝露於每一製程氣體,從而在基板表面上形成層。
在一些實施例中,氣體分佈組合件120是由單個注入器單元製成的剛性穩態主體。在一或更多個實施例中,氣體分佈組合件120由複數個單獨區段構成(例 如注入器單元122),如第2圖所示。單件主體或多區段主體可用於本揭示案所述之多個實施例。
基座組合件140定位在氣體分佈組合件120下方。基座組合件140包括頂表面141及頂表面141中之至少一個凹槽142。基座組合件140亦具有底表面143及邊緣144。凹槽142可為任一適合之形狀及尺寸,此依據正在處理之基板60之形狀及尺寸而定。在第1圖中所示的實施例中,凹槽142具有平底以支撐晶圓底部;然而,凹槽底部可變化。在一些實施例中,凹槽具有圍繞凹槽外部周緣的步階區域,該等區域經定尺以支撐晶圓之外部周緣。晶圓中由步階支撑之外部周緣的量可依據例如晶圓厚度及晶圓背側已存在之特徵之存在而變化。
在一些實施例中,如第1圖所示,基座組合件140的頂表面141中之凹槽142經定尺以使得凹槽142中支撑的基板60具有大體上與基座140頂表面141共面的頂表面61。如本說明書及所附申請專利範圍中所使用,術語「大體上共面」意謂著晶圓頂表面及基座組合件頂表面是共面的,相差在±0.2毫米內。在一些實施例中,頂表面為共面,相差在±0.15毫米、±0.10毫米,或±0.05毫米內。
第1圖之基座組合件140包括能够升舉、降低及旋轉基座組合件140的支柱160。基座組合件可包括加熱器,或氣體管線,或支柱160中心內之電氣組件。 支柱160可為增大或減少基座組合件140與氣體分佈組合件120之間的縫隙、將基座組合件140移入正確位置的主要手段。基座組合件140亦可包括微調致動器162,該等致動器可對基座組合件140進行微量調整以在基座組合件140與氣體分佈組合件120之間產生預定縫隙170。在一些實施例中,縫隙170距離處於約0.1毫米至約5.0毫米範圍內,或約0.1毫米至約3.0毫米範圍內,或約0.1毫米至約2.0毫米範圍內,或約0.2毫米至約1.8毫米範圍內,或約0.3毫米至約1.7毫米範圍內,或約0.4毫米至約1.6毫米範圍內,或約0.5毫米至約1.5毫米範圍內,或約0.6毫米至約1.4毫米範圍內,或約0.7毫米至約1.3毫米範圍內,或約0.8毫米至約1.2毫米範圍內,或約0.9毫米至約1.1毫米範圍內,或為約1.0毫米。
圖式中圖示之處理腔室100是旋轉料架類型之腔室,在該腔室中,基座組合件140可固持複數個基板60。如第2圖中所示,氣體分佈組合件120可包括複數個單獨注入器單元122,當晶圓移動至注入器單元之下時,每一注入器單元122能够在晶圓上沉積膜。兩個扇形注入器單元122經圖示定位在近似於基座組合件140相對側及上方。僅以說明為目的而圖示注入器單元122之此數目。將理解,可包括更多或更少的注入器單元122。在一些實施例中,有充足數目之扇形注入器單元122以形成一形狀,該形狀與基座組合件140之形狀 共形。在一些實施例中,每一單個扇形注入器單元122可在不影響其他注入器單元122中任何者之情況下獨立移動、被移除及/或更換。例如,可升舉一個區段以允許機器人進出基座組合件140與氣體分佈組合件120之間的區域以裝載/卸載基板60。
具有多數個氣體注入器之處理腔室可用以同時處理多個晶圓,以使得晶圓經歷相同製程流程。例如,如第3圖所示,處理腔室100具有四個氣體注入器組合件及四個基板60。在處理開始時,基板60可定位在注入器組合件30之間。旋轉17基座組合件140達45度將使得注入器組合件120之間的每一基板60移至注入器組件120以進行膜沉積,如注入器組合件120下方的虛線圓形所示。額外45度旋轉將使基板60移動離開注入器組合件30。利用空間ALD注入器,在晶圓相對於注入器組件之移動期間,膜沉積在晶圓上。在一些實施例中,基座組合件140以增量旋轉,該等增量阻止基板60停止在注入器組合件120下方。基板60及氣體分佈組合件120之數目可能相同或不同。在一些實施例中,正在處理之晶圓之數目與存在的氣體分配組合件數目相同。在一或更多個實施例中,正在處理之晶圓之數目是氣體分配組合件數目之分數或整數倍。例如,如若存在四個氣體分配組合件,則存在4x個正在處理之晶圓,其中x是大於或等於一之整數值。
第3圖中圖示之處理腔室100僅可表示一個可能配置及不應被視作限制本揭示案之範疇。在本案中,處理腔室100包括複數個氣體分佈組合件120。在圖示的實施例中,有四個氣體分佈組合件(亦稱作注入器組合件30)圍繞處理腔室100均勻相隔。圖示之處理腔室100為八邊形;然而熟習該項技術者將理解,此形狀是一個可能形狀,且不應被視作限制本揭示案之範疇。圖示之氣體分佈組合件120是梯形的,但亦可為單個圓形組件,或由複數個扇形段構成,如第2圖中圖示。
第3圖中圖示的實施例包括負載鎖定腔室180,或例如緩衝站之輔助腔室。此腔室180連接至處理腔室100之一側以允許從腔室100裝載或卸載例如基板(亦被稱作基板60)。晶圓機器人可定位在腔室180中以將基板移至基座上。
旋轉料架(例如基座組合件140)之旋轉可為連續的或不連續的。在連續處理中,晶圓恆定旋轉以便該等晶圓依次曝露於每一注入器。在不連續處理中,晶圓可移至注入器區域並停止,然後移至注入器之間的區域84並停止。例如,旋轉料架可旋轉以便晶圓從注入器間區域移動穿過注入器(或在注入器鄰近處停止)且移至下一注入器間區域上,旋轉料架可在該區域中再次暫停。在注入器之間暫停可為每一次層沉積(例如曝露於電漿)之間的額外處理步驟提供時間。
第4圖圖示氣體分佈組合件220之一區段或部分,該區段或部分可被稱作注入器單元122。注入器單元122可單獨使用或與其他注入器單元組合使用。例如,如第5圖所示,第4圖中注入器單元122中之四者組合形成單個氣體分佈組合件220。(未圖示分隔四個注入器單元的管線以便明晰。)儘管第4圖之注入器單元122除淨化氣體埠155與真空埠145之外還具有第一反應性氣體埠125與第二反應性氣體埠135,但注入器單元122無需全部該等組件。
請參看第4圖及第5圖,依據一或更多個實施例之氣體分佈組合件220可包括複數個區段(或注入器單元122),每一區段完全相同或不同。氣體分佈組合件220定位在處理腔室內及在氣體分佈組合件220前表面121中包括複數個伸長氣埠125、135、155及伸長真空埠145。複數個伸長氣埠125、135、155及伸長真空埠145從與內部周緣123相鄰的區域中伸向氣體分佈組合件220外部周緣124的相鄰區域。圖示之複數個氣埠包括第一反應性氣體埠125、第二反應性氣體埠135、圍繞第一反應性氣體埠及第二反應性氣體埠中每一者的真空埠145,及淨化氣體埠155。
藉由參考第4圖或第5圖中圖示的實施例,實施例中說明埠從至少約一個內部周邊區域伸向至少約一個外部周邊區域,然而,該等埠可能不僅徑向從內部延伸到外部區域。埠可能切線延伸,因為真空埠145圍繞 反應性氣體埠125及反應性氣體埠135。在第4圖及第5圖中圖示的實施例中,楔形反應性氣體埠125、135的全部邊緣皆被真空埠145圍繞,包括與內部周邊區域及外部周邊區域相鄰之處。
請參看第4圖,當基板沿路徑127移動時,基板表面之每一部分曝露於多種反應性氣體。為遵循該路徑127,基板將曝露於或「看見」淨化氣體埠155、真空埠145、第一反應性氣體埠125、真空埠145、淨化氣體埠155、真空埠145、第二反應性氣體埠135及真空埠145。由此,在第4圖中圖示的路徑127末端,基板已曝露於來自第一反應性氣體埠125及第二反應性氣體埠135的氣流以形成層。圖示的注入器單元122組成四分之一圓形,但可能更大或更小。第5圖中圖示的氣體分佈組合件220可被視作第4圖之串聯連接注入器單元122中四個單元的組合。
第4圖之注入器單元122圖示分隔反應性氣體的氣簾150。術語「氣簾」用以描述分隔反應性氣體以免混合的任何氣流或真空組合。第4圖中圖示的氣簾150包括真空埠145中緊鄰第一反應性氣體埠125的部分,中間的淨化氣體埠155,及真空埠145中緊鄰第二反應性氣體埠135的一部分。氣流及真空的此組合可用以阻止第一反應性氣體與第二反應性氣體的氣相反應或將該氣相反應降至最低。
請參看第5圖,來自氣體分佈組合件220的氣流與真空組合形成複數個處理區域250中之分隔。處理區域粗略界定在個別反應性氣體埠125、135周圍,該等處理區域250之間具有氣簾150。第5圖中圖示的實施例組成八個分隔的處理區域250與該等區域之間的八個分隔的氣簾150。處理腔室可具有至少兩個處理區域。在一些實施例中,至少有三個、四個、五個、六個、七個、八個、九個、十個、十一個或十二個處理區域。
在處理期間,基板可在任何給定時間曝露於一個以上之處理區域250。然而,曝露於不同處理區域的部分將具有分隔兩個處理區域的氣簾。例如,如若基板前沿進入包括第二反應性氣體埠135之處理區域,則基板中間部分將處於氣簾150下,而基板後沿將處於包括第一反應性氣體埠125之處理區域中。
例如可為負載鎖定腔室之工廠介面280經圖示連接至處理腔室100。基板60經圖示疊置在氣體分佈組合件220上方以提供參考框架。基板60可時常位於基座組合件上以經固持在氣體分佈組合件120的前表面121附近(亦被稱作氣體分佈板)。基板60經由工廠介面280被載入處理腔室100內之基板支撑件或基座組合件上(請參看第3圖)。圖示基板60可定位在處理區域內,因為基板位於第一反應性氣體埠125相鄰處及兩個氣簾150a、150b之間。沿路徑127旋轉基板60將在逆時針方向圍繞處理腔室100移動基板。由此,基板60將 經由八個處理區域250h而曝露於第一處理區域250a,該等處理區域包括之間的全部處理區域。在圍繞處理腔室之每一循環中,藉由使用圖示的氣體分佈組合件,基板60將曝露於第一反應性氣體與第二反應性氣體的四個ALD循環。
分批處理器(例如第5圖之處理器)中習用的ALD順序使化學品A及B分別從空間分隔之注入器流出,該等注入器之間具有泵/淨化區段。習用的ALD順序具有開始及結束圖案,該圖案可導致沉積膜的不均勻性。發明者已驚喜發現,基於時間的在空間ALD分批處理腔室中執行的ALD製程提供具有更高均勻性的膜。曝露於氣體A、非反應性氣體、氣體B、非反應性氣體之基本製程將在注入器下掠過基板以使表面分別達成化學品A及B飽和,以避免在膜中具有開始及結束圖案形態。發明者已驚喜發現,基於時間的方法在目標膜厚度較薄(例如小於20個ALD循環)時尤其有益,在此情況下,開始與結束圖案對內部晶圓均勻性效能具有顯著影響。發明者亦已發現,用以產生SiCN、SiCO及SiCON膜的反應製程,如本案中所描述,不能利用時域製程完成。淨化處理腔室所需的時間量導致材料從基板表面剝脫。所述的空間ALD製程不會發生剝脫,因為處於氣簾下的時間較短。
因此,本揭示案之實施例係針對處理方法,該等方法包括具有複數個處理區域250a-250h的處理 腔室100,每一處理區域與相鄰區域藉由氣簾150而分隔。例如,第5圖中圖示的處理腔室。處理腔室內的氣簾及處理區域數目依據氣流配置而定可為任何適合數目。第5圖中圖示的實施例具有八個氣簾150及八個處理區域250a-250h。氣簾數目一般等於或大於處理區域數目。例如,如若區域250a沒有反應性氣流,但僅充當裝載區域,則處理腔室將具有七個處理區域及八個氣簾。
複數個基板60定位在基板支撑件上,例如第1圖及第2圖中圖示的基座組合件140。複數個基板60圍繞處理區域旋轉以用於處理。一般而言,氣簾150在整個處理中啟用(氣體流動及真空開啟),該處理包括當非反應性氣體流入腔室之時段。
第一反應性氣體A流入處理區域中之一或更多個區域,而惰性氣體流入無第一反應性氣體A流入的任何處理區域250內。例如,如若第一反應性氣體經由處理區域250h流入處理區域250b,則惰性氣體將流入處理區域250a。惰性氣體可流經第一反應性氣體埠125或第二反應性氣體埠135。
惰性氣流在處理區域內可為恆定或不同。在一些實施例中,反應性氣體與惰性氣體共同流動。惰性氣體將充當載體及稀釋劑。因為反應性氣體量相對於載氣而言較小,因此共同流動可使得處理區域之間的氣壓更易藉由減少相鄰區域之間的壓差而平衡。
因此,本揭示案之一或更多個實施例係針對利用分批處理腔室之處理方法,該分批處理腔室如第5圖中所圖示。將基板60置入處理腔室中,該腔室具有複數個處理區域250,每個區段藉由氣簾150與相鄰區段分隔。基板表面之至少一部分在處理腔室之第一區段250a中曝露於第一製程條件。例如,第一製程條件包括含矽前驅物及可選載氣。在第一區段250a中,第一含矽膜可形成。
基板表面橫向移動穿過氣簾150至第二區段250b。在此,第一含矽膜曝露於包括含碳前驅物之第二製程條件以形成第二含矽膜。
具有第二含矽膜之基板表面橫向移動穿過氣簾150至處理腔室之第三區段250c。第三區段可為電漿曝露或淨化氣體區域。在第5圖中圖示的實施例中,有八個區段。如若有三個製程條件,則淨化區段可用以使沉積幾何平衡,以便穿過處理腔室之完整循環產生雙層成型。因此,具有第二含矽膜之基板穿過氣簾150至第三區段250c或第四區段250d。在第三區段250c或第四區段250d中,第二含矽膜曝露於電漿以形成矽碳膜。
在包括氧曝露之實施例中,第三區段250c可包括氧源氣體。例如,第二含矽膜在移入第四區段250d之前,可先在第三區段250c中曝露於氧化性氣體。
基板表面可因此從第四區段250d橫向移動穿過氣簾150進入處理腔室之另一區域。在處理腔室之 其他區域中,基板表面可例如重複曝露於額外的第一、第二、第三及/或第四製程條件以形成具預定厚度的膜。
實例
數個SiCN膜藉由使用不同的電漿氣體而形成。每一膜在約550℃、約6.5托壓力及約6rpm轉速下形成。二氯矽烷以約400sccm之流率流入第一區段。乙二胺以約250sccm之流率流入第二區段。第四區段中形成的電漿變化如表1所示。第三區段具有淨化氣流。
Figure 105113665-A0202-12-0021-1
數個SiCN膜藉由使用不同的電漿功率而形成。每一膜在約550℃、約6.5托壓力及約6rpm轉速下形成。二氯矽烷以約400sccm之流率流入第一區段。乙二胺以約250sccm之流率流入第二區段。第四區段中形成之電漿是Ar/H2混合物(1200/300)且功率變化如表2所示。第三區段具有淨化氣流。
Figure 105113665-A0202-12-0021-2
因此,本揭示案之一些實施例係針對具有大於或等於約1.950、1.960、1.970、1.980、1.990或2.000之折射率及/或小於約0.25、0.20、0.15、0.10、0.05或0.03的濕式蝕刻率比的SiCN膜。在一些實施例中,SiCN膜具有小於或等於約5.8、5.6、5.4、5.2、5.0、4.8、4.6、4.5或4.4的介電常數。
根據一或更多個實施例,基板在形成層之前及/或之後經受處理。可在相同腔室中或在一或更多個分隔處理腔室中執行此處理。在一些實施例中,將基板自第一腔室移至分隔開的第二腔室以進行進一步處理。可將基板自第一腔室直接移至分隔開的處理腔室,或可將其自第一腔室移至一或更多個移送室,然後移至分隔的處理腔室。因此,處理設備可包括與移送站形成連通之多個腔室。此類設備可被稱作「群集工具」或「群集系統」,及類似物。
一般而言,群集工具為包括多個腔室之模組化系統,該多個腔室執行包括基板尋心及定向、脫氣、退火、沉積及/或蝕刻之多個功能。根據一或更多個實施例,群集工具包括至少第一腔室及中央移送室。中央移送室可容納機器人,該機器人可使基板在處理腔室與負載鎖定腔室之間來回運動。移送室通常維持在真空狀態下並提供中間階段以便使基板從一個腔室穿梭至另一個腔室及/或穿梭至位於群集工具前端之負載鎖定腔室。可適合用於本揭示案之兩個眾所熟知之群集工具是自美國 加利福尼亞州聖克拉拉市的應用材料有限公司購得之Centura®及Endura®。一個該種分級真空基板處理設備之細節在由Tepman等人於1993年2月16日申請之名稱為「Staged-Vacuum Wafer Processing Apparatus and Method」之美國專利案第5,186,718號中進行揭示。然而,確切的腔室排列及組合可出於執行如本文所述之特定製程步驟之目的而改變。可使用之其他處理腔室包括但不限於循環層沉積(cyclical layer deposition;CLD)、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、蝕刻、預清洗、化學清洗、諸如RTP之熱處理、電漿氮化、脫氣、定向、羥化,及其他基板製程。藉由在群集工具上之腔室中執行製程,可在無需在沉積後續膜之前進行氧化之情況下避免基板發生含有大氣雜質之表面污染。
根據一或更多個實施例,基板連續處於真空下或「負載鎖定」條件下,且在自一個腔室移至下一腔室中時並未曝露於周圍空氣。由此,移送室處於真空下及在真空壓力下經「抽真空」。處理腔室或移送室中可能存在惰性氣體。在一些實施例中,惰性氣體用作淨化氣體以移除一些或全部反應物。根據一或更多個實施例,在沉積腔室之出口處注射淨化氣體以防止反應物自 沉積腔室中移至移送室及/或額外處理腔室。由此,惰性氣流在腔室出口處形成簾幕。
可在單個基板沉積腔室中處理基板,在此腔室中,先載入、處理並卸載單個基板,再處理另一基板。亦可以類似於運輸機系統之連續方式處理基板,其中多個基板經個別載入腔室之第一部分,移動穿過腔室,且自腔室之第二部分卸載。腔室及關連運輸機系統之形狀可形成直線路徑或曲線路徑。此外,處理腔室可為旋轉料架,其中多個基板圍繞中心軸移動並在整個旋轉料架路徑上曝露於沉積、蝕刻、退火、清洗等製程。
在處理期間,基板可經加熱或冷却。該種加熱或冷却同時附有任何適合之方法,該等方法包括但不限於變更基板支座溫度且將加熱或冷却氣體流至基板表面。在一些實施例中,基板支座包括可經控制以用傳導方式變更基板溫度之加熱器/冷却器。在一或更多個實施例中,所使用之氣體(或反應性氣體或惰性氣體)經加熱或冷却以局部變更基板溫度。在一些實施例中,加熱器/冷却器定位於腔室內鄰接於基板表面之位置,以用對流方式變更基板溫度。
基板在處理期間亦可為靜止或旋轉。旋轉基板可連續地或以不連續步驟旋轉。例如,基板可在整個製程期間旋轉,或基板可在曝露於不同反應性氣體或淨化氣體的步驟之間少量旋轉。在處理期間(連續地或分步地)旋轉基板可有助於產生更均勻之沉積,或藉由將 例如氣流幾何形狀中之局部可變性效應降至最低來進行蝕刻。
在原子層沉積類型之腔室中,基板可在空間分隔或時間分隔之製程中曝露於第一及第二前驅物。時間ALD是傳統製程,其中第一前驅物流入腔室以與表面反應。在將第二前驅物流入之前自腔室中清除第一前驅物。在空間ALD中,第一及第二前驅物同時流至腔室,但經空間分隔以便在前驅物流之間存在防止前驅物混合之區域。在空間ALD中,基板相對於氣體分佈板移動,或反之亦然。
在該等方法中之部分中之一或更多者在一個腔室中發生之實施例中,該製程可為空間ALD製程。儘管如上所述之化學反應中之一或更多者可能不相容(亦即導致除了在基板表面上以外之其他反應及/或在腔室上沉積),但空間隔離確保反應物不曝露於處於氣相中之每一者。例如,時間ALD涉及淨化沉積腔室。然而,實際上,有時不可能在流入額外反應物之前從腔室中淨化全部過量反應物。因此,腔室中任何殘留反應物可能發生反應。利用空間隔離,過量反應物無需淨化,且交叉污染受限。而且,可能需要大量時間來淨化處理腔室,因此藉由消除淨化步驟可增大產量。
本說明書全文中對「一個實施例」、「某些實施例」、「一或更多個實施例」,或「一實施例」之參考意謂著結合實施例所述之特定特徵、結構、材料或 特性被歸入本揭示案的至少一個實施例中。由此,諸如「在一或更多個實施例中」、「在某些實施例中」、「在一個實施例中」,或「在一實施例中」之片語出現在本說明書全文中多處並非一定指示本揭示案之同一實施例。此外,特定特徵、結構、材料或特性可在一或更多個實施例中以任何適合之方式組合。
儘管本文中之揭示內容已藉由參考特定實施例而進行描述,但將理解,該等實施例僅以說明本揭示之原理及應用為目的。彼等熟習該項技術者將顯而易見,可在不脫離本揭示案精神及範疇之情況下對本揭示案之方法及設備進行多種修改及更動。由此,本揭示案意欲包括符合所附之申請專利範圍及其同等內容之範疇的修改及更動。
60‧‧‧基板
100‧‧‧處理腔室
121‧‧‧前表面
123‧‧‧內部周緣
124‧‧‧外緣
125‧‧‧第一反應性氣體埠
127‧‧‧路徑
135‧‧‧第二反應性氣體埠
145‧‧‧真空埠
150‧‧‧氣簾
155‧‧‧淨化氣體埠
250a‧‧‧第一處理區域
250b‧‧‧處理區域
250c‧‧‧處理區域
250d‧‧‧處理區域
250e‧‧‧處理區域
250f‧‧‧處理區域
250g‧‧‧處理區域
250h‧‧‧處理區域
280‧‧‧工廠介面

Claims (20)

  1. 一種沉積一薄膜的處理方法,該方法包括以下步驟:使一基板表面之至少一部分曝露於一含矽前驅物以形成一第一含矽膜;使該第一含矽膜曝露於一含碳前驅物以形成一第二含矽膜,該第二含矽膜包括碳;及將該第二含矽膜曝露於一電漿以形成一矽碳膜。
  2. 如請求項1所述之處理方法,其中該含矽前驅物包含鹵化矽。
  3. 如請求項2所述之處理方法,其中該含矽前驅物主要由鹵化矽組成。
  4. 如請求項1所述之處理方法,其中該含碳前驅物包括具有碳及氮原子之一化合物。
  5. 如請求項4所述之處理方法,其中該含碳前驅物大體上不包括氧原子。
  6. 如請求項4所述之處理方法,其中該含碳前驅物包括烷基胺、二胺、聚胺及/或環胺中之一或更多者。
  7. 如請求項1所述之處理方法,其中該含碳前驅物包括具有碳及氧原子之一化合物。
  8. 如請求項7所述之處理方法,其中該含碳前 驅物大體上不包括氮原子。
  9. 如請求項7所述之處理方法,其中該含碳前驅物包括CO2、醇及/或醚中之一或更多者。
  10. 如請求項1所述之處理方法,其中該含碳前驅物包括碳、氧及氮原子。
  11. 如請求項10所述之處理方法,其中該含碳前驅物包括烷基胺基-醇或者具有碳與氮原子或碳與氧原子之化合物的一混合物中之一或更多者。
  12. 如請求項1所述之處理方法,其中該方法在約200℃至約650℃範圍中之一溫度下執行。
  13. 如請求項1所述之處理方法,進一步包括以下步驟:使該第二含矽膜在曝露於該電漿之前曝露於一氧源,以形成一矽碳氧膜。
  14. 如請求項1所述之處理方法,其中該電漿包括至少一種惰性物種及一氧物種,且該矽碳膜進一步包括氧。
  15. 如請求項1所述之處理方法,其中該電漿包括至少一種惰性物種及一含氮前驅物,且該矽碳膜進一步包括氮。
  16. 一種沉積一薄膜的處理方法,該方法包括以下步驟:使一基板表面曝露於至少兩個沉積循環,每一沉積循環包括以下步驟: 使該基板表面之至少一部分曝露於一含矽前驅物以形成一第一含矽膜;使該含矽膜曝露於一含碳前驅物,該含碳前驅物主要由碳及氮原子組成,以形成一第二含矽膜;及使該第二含矽膜曝露於一電漿以形成一碳氮化矽膜。
  17. 如請求項16所述之處理方法,其中該含矽前驅物包括一鹵化矽,且該含碳前驅物主要由乙二胺組成。
  18. 如請求項17所述之處理方法,其中該碳氮化矽膜在稀HF中具有一小於約0.5之濕式蝕刻率比。
  19. 如請求項16所述之處理方法,其中該含碳前驅物或該電漿中之一或更多者包括一含氧物種。
  20. 一種沉積一薄膜的處理方法,包括以下步驟:將具有一基板表面的一基板置入一處理腔室中,該處理腔室包括複數個區段,每一區段藉由一氣簾與相鄰區段分隔;將該基板表面中至少一部分在該處理腔室之一第一區段中曝露於一第一製程條件,以形成一第一含矽膜,該第一製程條件包括一含矽前驅物; 使該基板表面橫向移動穿過一氣簾至該處理腔室之一第二區段;將該第一含矽膜曝露於一含碳前驅物以形成一第二含矽膜,該含碳前驅物主要由碳及氮原子組成,以形成一第二含矽膜;及使具有該第二含矽膜之該基板表面橫向移動穿過至少一個氣簾至該處理腔室之一第三區段或一第四區段;將該第二含矽膜曝露於一電漿,該電漿包括一惰性氣體且視需要可包括含氫、含氮及含氧物種中之一或更多者,以形成一碳氮化矽或氧碳氮化矽膜;及該基板表面從該處理腔室之該第三區段或該第四區段橫向移動穿過一氣簾;及重複曝露於該第一區段、該第二區段及該第三區段或該第四區段,包括重複該基板表面之橫向移動,以形成具有一預定厚度的一碳氮化矽或氧碳氮化矽膜。
TW105113665A 2015-05-02 2016-05-02 用於沉積低k及低濕式蝕刻率介電薄膜的方法 TWI715572B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562156257P 2015-05-02 2015-05-02
US62/156,257 2015-05-02

Publications (2)

Publication Number Publication Date
TW201702416A TW201702416A (zh) 2017-01-16
TWI715572B true TWI715572B (zh) 2021-01-11

Family

ID=57204178

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105113665A TWI715572B (zh) 2015-05-02 2016-05-02 用於沉積低k及低濕式蝕刻率介電薄膜的方法

Country Status (5)

Country Link
US (2) US9799511B2 (zh)
JP (1) JP6968701B2 (zh)
KR (1) KR102692981B1 (zh)
TW (1) TWI715572B (zh)
WO (1) WO2016178991A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
CN110612596B (zh) * 2017-04-13 2023-08-15 应用材料公司 用于沉积低介电常数膜的方法与设备
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
WO2018213018A1 (en) * 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
KR102155281B1 (ko) * 2017-07-28 2020-09-11 주성엔지니어링(주) 기판처리장치의 가스분사장치, 기판처리장치, 및 기판처리방법
TWI833804B (zh) * 2018-09-21 2024-03-01 美商應用材料股份有限公司 含鋁膜的間隙填充
US11359281B2 (en) * 2020-01-26 2022-06-14 Applied Materials, Inc. Selective deposition of SiCON by plasma ALD

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201308432A (zh) * 2011-06-03 2013-02-16 Applied Materials Inc 降低釋氣的覆蓋層
US20130084714A1 (en) * 2011-09-30 2013-04-04 Asm Japan K.K. Method for Forming Single-Phase Multi-Element Film by PEALD

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
KR100468847B1 (ko) 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007221039A (ja) * 2006-02-20 2007-08-30 National Institute For Materials Science 絶縁膜および絶縁膜材料
US8440268B2 (en) 2006-03-30 2013-05-14 Mitsui Engineering & Shipbuilding Co., Ltd. Method and apparatus for growing plasma atomic layer
US8080282B2 (en) 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
EP2351069A4 (en) * 2008-10-10 2014-06-04 Alta Devices Inc CHEMICAL GAS PHASE DEPOSITION WITH CONTINUOUS INTRODUCTION
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
KR101514231B1 (ko) * 2011-08-25 2015-04-22 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP5806612B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 シリコン酸炭窒化膜の形成方法
JP6150506B2 (ja) * 2011-12-27 2017-06-21 東京エレクトロン株式会社 成膜方法
JP5884500B2 (ja) 2012-01-18 2016-03-15 東京エレクトロン株式会社 成膜装置
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5882777B2 (ja) * 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
TWI522490B (zh) * 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
JP6022276B2 (ja) * 2012-09-20 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
JP6010451B2 (ja) 2012-12-21 2016-10-19 東京エレクトロン株式会社 成膜方法
JP5971144B2 (ja) * 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
JP6146160B2 (ja) * 2013-06-26 2017-06-14 東京エレクトロン株式会社 成膜方法、記憶媒体及び成膜装置
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6246558B2 (ja) * 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201308432A (zh) * 2011-06-03 2013-02-16 Applied Materials Inc 降低釋氣的覆蓋層
US20130084714A1 (en) * 2011-09-30 2013-04-04 Asm Japan K.K. Method for Forming Single-Phase Multi-Element Film by PEALD

Also Published As

Publication number Publication date
US9799511B2 (en) 2017-10-24
WO2016178991A1 (en) 2016-11-10
KR20180002774A (ko) 2018-01-08
JP6968701B2 (ja) 2021-11-17
US20160322214A1 (en) 2016-11-03
US20180040470A1 (en) 2018-02-08
TW201702416A (zh) 2017-01-16
US10147599B2 (en) 2018-12-04
JP2018515921A (ja) 2018-06-14
KR102692981B1 (ko) 2024-08-06

Similar Documents

Publication Publication Date Title
TWI715572B (zh) 用於沉積低k及低濕式蝕刻率介電薄膜的方法
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
TWI753523B (zh) 高溫熱原子層沉積氮化矽膜
TWI732795B (zh) 藉由表面毒化處理由下而上的間隙填充
JP6992089B2 (ja) 誘電体膜の選択的堆積のための方法及び装置
KR102539130B1 (ko) 컨포멀한 갭-충전 비정질 실리콘 박막들의 증착
US11702742B2 (en) Methods of forming nucleation layers with halogenated silanes
JP6913752B2 (ja) 核形成のない間隙充填aldプロセス
TWI790320B (zh) 釕的選擇性原子層沉積
TWI791508B (zh) 用於沉積低介電常數膜的方法與設備
US20160307748A1 (en) Deposition Of Si-H Free Silicon Nitride
KR102676605B1 (ko) 공간적 원자 층 증착을 이용한 인-시튜 막 어닐링
KR20200019769A (ko) 이트륨-함유 막들을 증착하기 위한 방법들 및 장치
JP2020530198A (ja) 金属酸化物の後処理の方法