TWI790320B - 釕的選擇性原子層沉積 - Google Patents

釕的選擇性原子層沉積 Download PDF

Info

Publication number
TWI790320B
TWI790320B TW107143568A TW107143568A TWI790320B TW I790320 B TWI790320 B TW I790320B TW 107143568 A TW107143568 A TW 107143568A TW 107143568 A TW107143568 A TW 107143568A TW I790320 B TWI790320 B TW I790320B
Authority
TW
Taiwan
Prior art keywords
substrate
ruthenium
gas
processing chamber
insulating material
Prior art date
Application number
TW107143568A
Other languages
English (en)
Other versions
TW201928108A (zh
Inventor
陳一宏
巫勇
史林尼維斯 干德可塔
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201928108A publication Critical patent/TW201928108A/zh
Application granted granted Critical
Publication of TWI790320B publication Critical patent/TWI790320B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0047Activation or excitation of reactive gases outside the coating chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic Table
    • C07F15/0006Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic Table compounds of the platinum group
    • C07F15/0046Ruthenium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B1/00Conductors or conductive bodies characterised by the conductive materials; Selection of materials as conductors
    • H01B1/06Conductors or conductive bodies characterised by the conductive materials; Selection of materials as conductors mainly consisting of other non-metallic substances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)

Abstract

茲描述選擇性地沉積釕之方法。首選的沉積表面根據處理期間之基板溫度而改變。在高溫下,釕沉積在導電材料的第一表面上更甚於絕緣材料的第二表面。在低溫下,釕沉積在絕緣表面上更甚於導電表面。

Description

釕的選擇性原子層沉積
本揭示內容的實施例與選擇性地沉積釕膜的方法有關。更具體而言,本揭示內容的實施例涉及使釕沉積在導電材料上更甚於沉積在絕緣材料上的方法、使釕沉積在絕緣材料上更甚於沉積在導電材料上的方法,及控制製程選擇率的方法。
藉由如自動駕駛交通工具、虛擬實境和未來移動裝置等新興行業對移動和高性能系統的需求之驅動,半導體工業繼續致力於持續的裝置小型化。為了實現此目標,需要新的高性能材料來迴避在微電子裝置中快速縮減特徵所遇到的既有工程和物理問題。
因為具有高熔點(有能力承受高電流密度)、優異的密度和傳導電流的能力,使釕成為用於積體的新式材料。釕及含釕薄膜具有吸引人的材料及導電性質。已提出將釕膜用於從半導體和微電子裝置的前端到後端部分的應用。
理想情況下,可使用諸如化學氣相沉積(CVD)及原子層沉積(ALD)等薄膜沉積技術來沉積釕的薄膜,因為他們具有以高通量、共形和精確方式沉積材料的固有能力。
隨著半導體裝置設計的發展,半導體工業中的精密材料製造已進入原子尺度的時代。在原子尺度上,一個樁(stake)只有幾十個原子,沒有誤差的餘地,即每個原子都很重要。這一前所未有的挑戰需要具有原子級精度的新材料處理技術。然而,原子級裝置製造中所需的製程流的複雜性增加會顯著增加製造成本。
選擇性沉積技術為半導體膜圖案化提供了化學選擇性原子層精度的潛力。選擇性沉積亦藉由移去光刻或其他製程來提供更簡單的製程流的潛力。
可以各種方式完成材料的選擇性沉積。舉例而言,某些製程可基於他們的表面化學性質而具有對表面的固有選擇率。這些製程很罕見,且通常需要具有截然不同的表面能量之表面,如金屬和介電質。
因此,需要在各種基板材料上選擇性地沉積釕膜之方法。
本揭示內容的一或多個實施例涉及選擇性沉積方法,包含以下步驟:提供基板,所述基板包含導電材料及絕緣材料,導電材料具有第一表面,而絕緣材料具有第二表面。使基板暴露於釕前驅物。使基板暴露於反應物。所述反應物包含以下一或多種:O2 、H2 或H2 O。釕可選擇性地沉積在第一表面上更甚於第二表面,或可選擇性地沉積在第二表面上更甚於第一表面。
本揭示內容的額外實施例涉及選擇性沉積方法,包含以下步驟:提供基板,所述基板包含導電材料及絕緣材料,導電材料具有第一表面,而絕緣材料具有第二表面。導電材料包含以下一或多種:Cu、Co、W、Ta、Ti或他們的氧化物或氮化物。絕緣材料包含以下一或多種:SiO2 、SiN或高電阻Si。使基板暴露於釕前驅物。使基板暴露於反應物。所述反應物包含以下一或多種:O2 、H2 或H2 O。將基板維持在大於或等於約250 °C之溫度下,且釕選擇性地沉積在第一表面上更甚於第二表面。
本揭示內容的進一步實施例涉及選擇性沉積方法,包含以下步驟:提供基板,所述基板包含導電材料及絕緣材料,導電材料具有第一表面,而絕緣材料具有第二表面。導電材料包含以下一或多種:Cu、Co、W、Ta、Ti或他們的氧化物或氮化物。絕緣材料包含以下一或多種:SiO2 、SiN或高電阻Si。使基板暴露於釕前驅物。使基板暴露於反應物。所述反應物包含以下一或多種:O2 、H2 或H2 O。將基板維持在小於或等於約200 °C之溫度下,且釕選擇性地沉積在第二表面上更甚於第一表面。
在描述本揭示內容的數個示例性實施例之前,應瞭解到本揭示內容不受限於下面說明書中所闡述的建置或處理程序的細節。本揭示內容能夠具有其他實施例,並能夠被由各種方式實作或執行。
本揭示內容的實施例提供了用於選擇性地沉積含釕膜之方法。各種實施例的方法使用原子層沉積(ALD)在選定的基板表面上提供釕膜。
在某些實施例中,基板包含導電材料及絕緣材料。導電材料的表面稱為第一表面。絕緣材料的表面稱為第二表面。
在某些實施例中,導電材料包含金屬、金屬、金屬氮化物、金屬氧化物或前述材料之組合。在某些實施例中,導電材料包含或基本上由以下一或多者組成:Cu、Co、W、Ta、Ti或他們的氧化物、氮化物或氧氮化物。如在此說明書及隨附申請專利範圍中所使用,術語「基本上由…組成(consists essentially of)」意指有大於或等於約95%、98%或99%的指定材料為所記載之材料。
絕緣材料可以是能顯示出對導電材料之選擇率的任何合適介電質。絕緣材料可為高-k (k>5)或低-k (k<5)介電質。在某些實施例中,絕緣材料包含矽。在某些實施例中,絕緣材料包含或基本上由以下一或多者組成:SiO2 、SiN或高電阻Si。就這方面所用之「高電阻Si (high resistance Si)」意指具有比純矽材料更高電阻的矽材料。在某些實施例中,高電阻Si材料的表面上具有氧化層。因此,在某些實施例中,高電阻矽為在表面上具有SiOx薄層之矽。
在某些實施例中,導電材料基本上由W組成,且絕緣材料基本上由SiO2 組成。在某些實施例中,導電材料基本上由TiN組成,且絕緣材料基本上由SiN組成。
本揭示內容的實施例提供了,使含釕膜選擇性地沉積在一個表面上更甚於另一個表面之方法。如在此說明書及隨附申請專利範圍中所使用,術語「使膜選擇性地沉積在一個表面上更甚於另一個表面(selectively depositing a film on one surface over another surface)」及類似術語意指:第一量的膜沉積在第一表面上,且第二量的膜沉積在第二表面上,其中第二量的膜少於第一量的膜,或沒有膜沉積在第二表面上。在這方面所用之術語「更甚於(over)」並不意味著一個表面在另一個表面頂部上之物理性取向,而是相對於另一個表面與一個表面進行化學反應之熱力學或動力學性質的關係。舉例而言,選擇性地將釕膜沉積至導電材料表面上更甚於絕緣材料表面意指:釕膜沉積在導電材料表面上,且較少或無釕膜沉積在絕緣材料表面上;或是,相對於在絕緣材料表面上形成釕膜,在熱力學或動力學上較傾向在導電材料表面上形成釕膜。
在選擇性沉積製程中,膜或層將比不同的基板部分更傾向沉積在基板表面的一個部分上。沉積製程的選擇率通常表示為生長速率的倍數。舉例而言,若一個表面生長(或沉積於該表面上)比不同的表面快25倍,則可將該製程描述為具有25:1的選擇率。就此而言,較高的比率表示更具選擇性的製程。
本揭示內容的某些實施例有利地提供了用於選擇性沉積之方法,其較傾向導電材料表面更甚於(相對於)絕緣材料表面。本揭示內容的某些實施例有利地提供了用於選擇性沉積之方法,其較傾向絕緣材料表面更甚於導電材料表面。令人驚訝的是,發明人發現可藉由控制基板溫度來控制某些實施例的選擇率。
在某些實施例中,基板維持在大於或等於約250 °C之溫度下,且釕選擇性地沉積在第一表面上更甚於第二表面。在某些實施例中,基板維持在小於或等於約200 °C之溫度,且釕選擇性地沉積在第二表面上更甚於第一表面。在某些實施例中,選擇率大於約5:1。不欲受限於理論,咸信選擇率不是連續的,而是在200 °C與250 °C之間「翻轉(flip)」。
如在此說明書及隨附申請專利範圍中所使用,術語「基板(substrate)」指的是表面,或表面的部分,其中製程在所述表面或表面的部分上進行。本案所屬技術領域中具通常知識者亦將理解的是,除非上下文另有明確指示,否則參照基板可僅指基板的一部分。此外,參照沉積在基板上可指裸基板和具有在其上沉積或形成的一或多個膜或特徵之基板二者。
如本文所使用,「基板表面」指的是於上面進行膜處理之基板的任何部分或形成於基板上之材料表面的部分。舉例而言,取決於應用,於上面可進行處理之基板表面可包括:諸如矽、氧化矽、氮化矽、經摻雜的矽、鍺、砷化鎵、玻璃、藍寶石等材料,及任何其它材料(諸如金屬、金屬氮化物、金屬合金及其它導電材料)。基板可包括,但不限於,半導體晶圓。可將基板暴露於預處理製程,以研磨、蝕刻、還原、氧化、羥基化、退火、UV硬化、電子束硬化及/或烘烤基板表面。除了在基板本身的表面上直接進行膜處理之外,在本揭示內容中,也可在形成於基板上的下方層(underlayer)上進行本文所揭示的任何膜處理程序(如下文更詳細地揭示),且術語「基板表面」欲包括前後文所指的此類下方層。因此,舉例而言,當膜/層或部分膜/層已被沉積至基板表面上,新沉積之膜/層的暴露表面便成為基板表面。基板可具有各種維度,如200mm或300mm直徑的晶圓,也可為矩形面板或正方形面板。在某些實施例中,基板包含剛性離散材料(rigid discrete material)。
如本文所使用,「原子層沉積」或「循環沉積」指的是依序暴露兩種或更多種反應性化合物,以於基板表面上沉積材料層。如在本說明書及隨附申請專利範圍中所使用,術語「反應性化合物」、「反應性氣體」、「反應性物種」、「前驅物」、「製程氣體」等可互換使用,以意指具有能夠在表面反應中(如,化學吸附、氧化、還原)與基板表面反應或與基板表面上之材料反應之物種的物質。可使基板或基板之部分分別暴露於兩種或更多種反應性化合物,該等反應性化合物經引入處理腔室之反應區內。在時域ALD製程(time-domain ALD process)中,對各反應性化合物之暴露由時間延遲分開,以允許各化合物黏附於基板表面上及/或在基板表面上反應,並接著從處理腔室清除。這些反應性化合物被視為依序向基板暴露。在空間ALD製程(spatial ALD process)中,可使基板表面之不同部分,或基板表面上之材料,同時暴露於兩種或更多種反應性化合物,使得基板上之任何給定的點(given point)實質上不同時暴露於超過一種反應性化合物。如在本說明書及隨附申請專利範圍中所使用,如熟習此項技術者將理解,用於此方面之術語「實質上」意指存在以下可能性:基板之小部分可能由於擴散而同時暴露於多種反應性氣體,且同時暴露是非故意的。
在時域ALD製程的一個態樣中,第一反應性氣體(即,第一前驅物或化合物A)經脈衝輸送至反應區內,繼之以第一時間延遲。下一步,第二前驅物或化合物B經脈衝輸送至反應區內,繼之以第二延遲。在各時間延遲期間,可將淨化氣體(如氬氣)引入處理腔室內,以淨化反應區或自反應區移除任何殘留反應性化合物或反應副產物。或者,可在整個沉積製程期間連續不斷地流動淨化氣體,使得在介於反應性化合物的脈衝之間的時間延遲期間只有淨化氣體流動。或者,可脈衝輸送反應性化合物,直到在基板表面上形成期望的膜或膜厚度。在任一情況中,脈衝輸送化合物A、淨化氣體、化合物B及淨化氣體之ALD製程為循環。循環可從化合物A或化合物B開始,並持續循環的各別順序,直到達成具有預定厚度之膜。
在空間ALD製程之實施例中,可將第一反應性氣體及第二反應性氣體(例如,氫氣)同時輸送至反應區,但藉由惰性氣體幕(inert gas curtain)及/或真空幕(vacuum curtain)分隔。可相對於氣體輸送設備移動基板,以便將基板上之任何給定的點(given point)暴露於第一反應性氣體及第二反應性氣體。
本揭示內容的某些實施例利用本文揭示之處理平台上執行之空間ALD製程。請參見圖式,第1圖繪示根據此揭示內容的一或多個實施例之處理平台100。第1圖所示之實施例僅代表一種可能的配置,且不應被視為本揭示內容之範疇的限制。舉例而言,在某些實施例中,處理平台100具有不同數量的製程腔室、緩衝腔室及機器人配置。
處理平台100包括中央移送站110,中央移送站110具有複數個側111、112、113、114、115、116。所示之中央移送站110具有第一側111、第二側112、第三側113、第四側114、第五側115及第六側116。儘管繪示了六側,但是本案所屬技術領域中具通常知識者將可理解,取決於例如處理平台100的整體配置,中央移送站110可以具有任何合適數量的側面。
移送站110中安置有機器人117。機器人117可為能在處理期間移動晶圓之任何合適的機器人。在某些實施例中,機器人117具有第一機械臂118和第二機械臂119。第一機械臂118和第二機械臂119可獨立於另一機械臂移動。第一機械臂118和第二機械臂119可在x-y平面中移動及/或沿著z軸移動。在某些實施例中,機器人117包括第三機械臂或第四機械臂(未繪示)。各機械臂可獨立於其他機械臂移動。
第一批次處理腔室120可連接至中央移送站110的第一側111。第一批次處理腔室120可經配置以在批次時間內一次處理x個晶圓。在某些實施例中,第一批次處理腔室120可經配置以同時處理約4個(x=4)至約12個(x=12)之範圍內的晶圓。在某些實施例中,第一批次處理腔室120經配置以同時處理6個(x=6)晶圓。本案所屬技術領域中具通常知識者將可理解,儘管第一批次處理腔室120可在裝載/卸載單獨晶圓之間處理多個晶圓,但各個晶圓可在任何給定的時間經受不同製程條件。舉例而言,類似於第2至6圖所示之空間原子層沉積腔室,可將晶圓暴露於不同處理區域中之不同製程條件,致使在晶圓移動通過各區域時完成製程。
第2圖繪示處理腔室200的剖面,處理腔室200包括氣體分配組件220以及基座組件240,氣體分配組件220亦稱為注入器或注入器組件。氣體分配組件220為在處理腔室中使用的任何類型的氣體遞送裝置。氣體分配組件220包括了面向基座組件240之前表面221。前表面221可具有任何數量或種類的開口,以朝向基座組件240遞送氣體流。氣體分配組件220也包括外邊緣224,在所示實施例中,外邊緣224實質上為圓形。
可取決於所使用的特定製程來改變所使用之氣體分配組件220的具體類型。本揭示內容之實施例可與任何類型的處理系統一起使用,其中基座與氣體分配組件之間的間隙為受控的。儘管可利用各種類型之氣體分配組件(如,噴淋頭),但本揭示內容之實施例可特別有利於空間氣體分配組件(spatial gas distribution assembly),所述空間氣體分配組件可具有複數個實質上平行的氣體通道。如本說明書與隨附申請專利範圍中所使用,術語「實質上平行(substantially parallel)」意指氣體通道的延長軸在大體相同的方向上延伸。氣體通道的平行性可有些許不完美。在二元反應中,複數個實質上平行的氣體通道可包括至少一個第一反應性氣體A通道、至少一個第二反應性氣體B通道、至少一個淨化氣體P通道及/或至少一個真空V通道。可朝晶圓的頂表面引導從(多個)第一反應性氣體A通道、(多個)第二反應性氣體B通道及(多個)淨化氣體P通道流出的氣體。部分氣體流跨晶圓的表面水平地移動,並透過(多個)淨化氣體P通道離開製程區域。從氣體分配組件的一端向另一端移動之基板將依序暴露於各製程氣體,而在基板表面上形成層。
在某些實施例中,氣體分配組件220為由單一注入器單元所製成的剛性固定體。在一或多個實施例中,如第3圖所示,氣體分配組件220可由複數個獨立區段(如,注入器單元222)製成。無論單件本體或多區段本體都可與本揭示內容所描述之各種實施例一起使用。
可將基座組件240安置在氣體分配組件220下方。基座組件240包括頂表面241及頂表面241中之至少一個凹部242。基座組件240也具有底表面243及邊緣244。根據待處理之基板60的形狀與尺寸,凹部242可為任何合適的形狀與尺寸。在第2圖所示之實施例中,凹部242具有平坦底部,以支撐晶圓的底部;然而,凹部的底部可變化。在某些實施例中,凹部在凹部的周圍邊緣具有階梯狀區域,階梯狀區域的尺寸經訂定以支撐晶圓的周圍邊緣。根據,例如,晶圓的厚度及已於晶圓的背側上存在之特徵,可改變由階梯部支撐之晶圓的周圍邊緣量。
在某些實施例中,如第2圖所示,基座組件240的頂表面241中之凹部242的尺寸經訂定,使得支撐於凹部242中之基板60的頂表面61與基座240的頂表面241實質上共平面。如本說明書與隨附申請專利範圍所使用,術語「實質上共平面(substantially coplanar)」指的是晶圓的頂表面與基座組件的頂表面在± 0.2 mm內共平面。在某些實施例中,所述頂表面在0.5 mm、± 0.4 mm、± 0.35 mm、± 0.30 mm、± 0.25 mm、± 0.20 mm、± 0.15 mm、± 0.10 mm或± 0.05 mm內共平面。
第2圖的基座組件240包括支撐柱260,支撐柱260能夠升舉、降低並旋轉基座組件240。基座組件可於支撐柱260的中心內包括加熱器、或氣體線、或電性部件。支撐柱260可為增加或減少基座組件240與氣體分配組件220間之間隙,從而將基座組件240移入適當的位置的主要構件。基座組件240亦可包含微調致動器262,微調致動器262可對基座組件240進行微小的調整,以在基座組件240與氣體分配組件220之間產生預定間隙270。
在某些實施例中,間隙270距離可在以下範圍內:約0.1 mm至約5.0 mm、或為約0.1 mm至約3.0 mm、或為約0.1 mm至約2.0 mm、或為約0.2 mm至約1.8 mm、或為約0.3 mm至約1.7 mm、或為約0.4 mm至約1.6 mm、或為約0.5 mm至約1.5 mm、或為約0.6 mm至約1.4 mm、或為約0.7 mm至約1.3 mm、或為約0.8 mm至約1.2 mm、或為約0.9 mm至約1.1 mm、或約1 mm。
圖式所示之處理腔室200為旋轉料架型腔室(carousel-type chamber),其中基座組件240可固持複數個基板60。如第3圖所示,氣體分配組件220可包括複數個分隔的注入器單元222,在晶圓於注入器單元下方移動時,各注入器單元222能夠將膜沉積在晶圓上。兩個派形注入器單元222被繪示為安置在基座組件240的大略相對側,並於基座組件240上方。僅為了解說目的而繪示此數量的注入器單元222。將可理解,可包括更多或更少的注入器單元222。在某些實施例中,有足夠數量的派形注入器單元222,以形成與基座組件240的形狀相符之形狀。在某些實施例中,個別派形注入器單元222的每一者可獨立地移動、移除及/或替換,而不會影響任何其他注入器單元222。舉例而言,一個區段可被升高,以允許機器人接近介於基座組件240與氣體分配組件220之間的區域,以裝載/卸載基板60。
可使用具有多個氣體注入器的處理腔室來同時處理多個晶圓,使得所述晶圓經歷相同的製程流。舉例而言,如第4圖所示,處理腔室200具有四個氣體注入器組件及四個基板60。在處理開始時,可將基板60安置於氣體分配組件220之間。以45旋轉17基座組件240,將使得介於氣體分配組件220之間的各基板60被移動至氣體分配組件220,以進行膜沉積,如氣體分配組件220下方的虛線圓圈所圖解。額外的45旋轉可移動基板60離開氣體分配組件220。基板60和氣體分配組件220的數量可為相同或相異。在某些實施例中,氣體分配組件的數量與待處理的晶圓數量相同。在一或多個實施例中,待處理之晶圓的數量為氣體分配組件的數量之分數或整數倍。舉例而言,若有四個氣體分配組件,則有4x個待處理晶圓,其中x為大於或等於1的整數值。在示範實施例中,氣體分配組件220包括由氣簾分隔的八個製程區域,且基座組件240可固持六個晶圓。
第4圖所示之處理腔室200僅代表一種可能的配置,且不應被當作對本揭示內容的範圍之限制。在此,處理腔室200包括複數個氣體分配組件220。在所示實施例中,有四個氣體分配組件220 (亦稱作注入器組件)繞著處理腔室200平均地隔開。所示之處理腔室200為八角形;然而,本案所屬技術領域中具有通常知識者將瞭解到這僅是一種可能的形狀,而不應被當作對本揭示內容的範圍之限制。所示之氣體分配組件220為梯型,但可為單一圓形部件或可由複數個派形區段製成(如第3圖所示)。
第4圖所示之實施例包括裝載鎖定腔室(load lock chamber) 280,或輔助腔室(如緩衝站)。此腔室280連接至處理腔室200的一側,以允許(例如)基板(亦稱為基板60)被裝載至/卸載自處理腔室200。可將晶圓機器人安置在腔室280中,以將基板移動至基座上。
旋轉料架(如,基座組件240)的旋轉可為連續的或間歇的(不連續的)。在連續處理中,晶圓持續旋轉,使得晶圓依次暴露於各注入器。在不連續的處理中,可將晶圓移動至注入器區域並停止,且接著被移動至注入器之間的區域84並停止。舉例而言,旋轉料架可旋轉而使晶圓從注入器間區域跨過注入器移動(或在注入器附近停止),並繼續到旋轉料架可再次暫停之下一個注入器間區域。注入器之間的暫停可在各層沉積之間提供用於進行額外處理程序(如,對電漿暴露)的時間。
第5圖繪示氣體分配組件220的區段或部分,所述區段或部分可稱為注入器單元。可單獨或結合其他注入器單元使用注入器單元222。舉例而言,如第6圖所示,可結合四個第5圖之注入器單元222來形成單個氣體分配組件220。(為了清楚說明,未繪示分隔這四個注入器單元的線。) 儘管除了淨化氣體埠255和真空埠245之外,第5圖之注入器單元222具有第一反應性氣體埠225和第二氣體埠235二者,但注入器單元222不需要所有這些部件。
請一併參見第5及6圖,根據一或多個實施例之氣體分配組件220可包含複數個區段(或注入器單元222),而各區段可相同或相異。氣體分配組件220可被安置在處理腔室內,並在氣體分配組件220的前表面221中包含複數個延長氣體埠225、235、245。複數個延長氣體埠225、235、245、255從內周圍邊緣223附近之區塊朝向氣體分配組件220的外周圍邊緣224附近之區塊延伸。所繪示之複數個氣體埠包括第一反應性氣體埠225、第二氣體埠235、真空埠245以及淨化氣體埠255,其中真空埠245圍繞第一反應性氣體埠及第二反應性氣體埠中之各者。
參照第5或6圖所示之實施例,雖然指出埠從至少約內周圍區域延伸到至少約外周圍區域,但,埠可不止僅從內區域徑向延伸至外區域。當真空埠245圍繞反應性氣體埠225和反應性氣體埠235時,埠可正切地延伸。在第5及6圖所示之實施例中,真空埠245圍繞在楔形反應性氣體埠225、235的所有邊緣上,包括與內周圍區域和外周圍區域相鄰的邊緣。
請參見第5圖,隨著基板沿路徑227移動,基板表面的各部分暴露於各種反應性氣體。為了跟隨路徑227,基板將被暴露至(或「看到(see)」)淨化氣體埠255、真空埠245、第一反應性氣體埠225、真空埠245、淨化氣體埠255、真空埠245、第二氣體埠235及真空埠245。因此,在第5圖所示之路徑227的末端,基板已被暴露於第一反應性氣體及第二反應性氣體,以形成層。所示之注入器單元222形成四分之一圓,但可以更大或更小。第6圖所示之氣體分配組件220可被視為四個第3圖之注入器單元222串聯連接的組合。
第5圖的注入器單元222顯示了分隔反應性氣體之氣簾250。術語「氣簾(gas curtain)」被用於描述任何氣體流或真空的結合,所述氣體流或真空可分隔反應性氣體使其不混合。第5圖所示之氣簾250包含:真空埠245在第一反應性氣體埠225旁的部分、中間的淨化氣體埠255,及真空埠245在第二氣體埠235旁的部分。可使用此氣體流與真空的結合,以防止或最小化第一反應性氣體與第二反應性氣體的氣相反應。
請參見第6圖,來自氣體分配組件220的氣體流與真空的結合,分隔了複數個製程區域350。可以介於350之間的氣簾250粗略地界定圍繞個別氣體埠225、235之製程區域。第6圖所示之實施例由八個分隔的製程區域350構成,而其間有八個分隔氣簾250。處理腔室可具有至少兩個製程區域。在某些實施例中,存在至少三個、四個、五個、六個、七個、八個、九個、10個、11個或12個製程區域。
在處理期間,基板可在任何給定的時間下暴露於超過一個製程區域350。然而,暴露至不同製程區域的部分,將具有分隔所述兩個製程區域的氣簾。舉例而言,若基板的前緣進入了包括第二氣體埠235之製程區域,則基板的中間部分將處於氣簾250下方,且基板的尾緣將處於包括第一反應性氣體埠225之製程區域中。
將工廠介面(如第4圖所示)繪示為連接至處理腔室200,工廠介面可為,例如,裝載鎖定腔室280。基板60被繪示為疊接於氣體分配組件220上方,以提供參考框(frame of reference)。基板60通常可位在基座組件上,以被固持在氣體分配組件220的前表面221附近。可透過工廠介面將基板60裝載進入處理腔室200至基板支撐件或基座組件上(請見第4圖)。基板60可被繪示為安置在製程區域內,因為基板被定位在鄰近第一反應性氣體埠225處並介於兩個氣簾250a、250b之間。沿著路徑227旋轉基板60將繞著處理腔室200逆時針移動基板。因此,基板60將被暴露於第一製程區域350a至第八製程區域350h,包括其間的所有製程區域。
本揭示內容的某些實施例涉及處理腔室200,處理腔室200具複數個製程區域350a至350h,各製程區域藉由氣簾250與相鄰區域隔開。舉例而言,第6圖所示之處理腔室。取決於氣體流的設置,處理腔室內之氣簾和製程區域的數量可為任何合適的數量。第6圖所示之實施例具有八個氣簾250和八個製程區域350a至350h。
請回頭參見第1圖,處理平台100包括處理腔室140,處理腔室140連接至中央移送站110的第二側112。某些實施例之處理腔室140經配置而將晶圓暴露於製程,以在第一批次處理腔室120中的處理之前及/或之後處理晶圓。某些實施例之處理腔室140包含退火腔室。退火腔室可為爐退火腔室或快速熱退火腔室,或經配置以將晶圓保持在預定溫度和壓力下並提供氣體流至腔室之不同腔室。
在某些實施例中,處理平台進一步包含第二批次處理腔室130,第二批次處理腔室130連接至中央移送站110的第三側113。可以類似於第一批次處理腔室120的方式配置第二批次處理腔室130,或可配置第二批次處理腔室130來進行不同製程或處理不同數量的基板。
第二批次處理腔室130可與第一批次處理腔室120相同或相異。在某些實施例中,第一批次處理腔室120及第二批次處理腔室130經配置以在相同的批次時間(batch time)內對相同數量的晶圓進行相同的製程,致使x (第一批次處理腔室120中之晶圓的數量)與y (第二批次處理腔室130中之晶圓的數量)相同,且第一批次時間與(第二批次處理腔室130的)第二批次時間相同。在某些實施例中,第一批次處理腔室120和第二批次處理腔室130經配置而具有相異的晶圓數量(x不等於y)、相異的批次時間中之一或多者,或二者均相異。
在第1圖所示之實施例中,處理平台100包括第二處理腔室150,第二處理腔室150連接至中央移送站110的第四側114。第二處理腔室150可與處理腔室140相同或相異。
處理平台100可包括控制器195,控制器195連接至機器人117 (未繪示所述連接)。控制器195可經配置而以機器人117的第一機械臂118在處理腔室140與第一批次處理腔室120之間移動晶圓。在某些實施例中,控制器195也可經配置而以機器人117的第二機械臂119在第二處理腔室150與第二批次處理腔室130之間移動晶圓。
在某些實施例中,控制器195連接至處理腔室200的基座組件240和氣體分配組件220。控制器195可經配置以繞著中心軸旋轉17基座組件240。控制器也可經配置以控制氣體埠225、235、245、255中的氣流。在某些實施例中,第一反應性氣體埠225提供釕前驅物流。在某些實施例中,第二反應性氣體埠235提供反應物流。在某些實施例中,其他氣體埠(未標記)可提供電漿流。可按任何處理順序來排列第一反應性氣體埠225、第二反應性氣體埠235及其他反應性氣體埠(未標記)。
處理平台100還可包括連接至中央移送站110的第五側115之第一緩衝站151,及/或連接至中央移送站110的第六側116之第二緩衝站152。第一緩衝站151和第二緩衝站152可執行相同或相異的功能。舉例而言,緩衝站可固持經處理後之晶圓的卡匣並返回原始卡匣,或第一緩衝站151可固持未經處理之晶圓,並在處理後將所述晶圓移動至第二緩衝站152。在某些實施例中,一或多個緩衝站經配置以在處理之前及/或之後預處理、預熱或清潔晶圓。
在某些實施例中,控制器195經配置以使用機器人117的第一機械臂118,在第一緩衝站151與處理腔室140和第一批次處理腔室120中之一或多者之間移動晶圓。在某些實施例中,控制器195經配置以使用機器人117的第二機械臂119,在第二緩衝站152與第二處理腔室150或第二批次處理腔室130中之一或多者之間移動晶圓。
處理平台100還可在中央移送站110與任何處理腔室之間包括一或多個狹縫閥160。在所示實施例中,在各個處理腔室120、130、140、150與中央移送站110之間存在狹縫閥160。狹縫閥160可打開和關閉,以隔離處理腔室內的環境與中央移送站110內的環境。舉例而言,若處理腔室在處理期間將產生電漿,則關閉所述處理腔室之狹縫閥可有助於防止雜散電漿損壞移送站中之機器人。
在某些實施例中,處理腔室不容易從中央移送站110移去。為了在任何處理腔室上進行維護,各處理腔室可進一步在處理腔室的側面上包括複數個接取門(access door)170。接取門170允許手動接取處理腔室,而無需從中央移送站110移去處理腔室。在所示實施例中,各處理腔室的各側(除了連接至移送站之該側以外)都具有接取門170。包括如此多的接取門170可使所採用之處理腔室的構造複雜化,因為腔室內的硬體可能需要經配置才能通過所述門進出。
某些實施例之處理平台包括連接到中央移送站110的水箱180。水箱180可經配置以將冷卻劑提供至任何或全部處理腔室。儘管被稱為「水(water)」箱,但是本案所屬技術領域中具通常知識者將可理解,可以使用任何冷卻劑。
在某些實施例中,處理平台100的尺寸允許經由單一電力連接器190連接至廠用電力(house power)。單一電力連接器190附接至處理平台100,以為各處理腔室和中央移送站110供電。
處理平台100可連接至工廠介面102,以容許晶圓或晶圓的卡匣裝載進入處理平台100。工廠介面102內的機器人103可將晶圓或卡匣移動進入或離開緩衝站151、152。可藉由中央移送站110中之機器人117在處理平台100內移動晶圓或卡匣。在某些實施例中,工廠介面102為另一個叢集工具的移送站。
在某些實施例中,處理平台100或第一批次處理腔室120連接至控制器。控制器可為與控制器195相同或不同的控制器。控制器可耦接第一批次處理腔室120的基座組件和氣體分配組件,且可具有一或多種配置。所述配置可包括,但不限於:第一配置,用以繞著中央軸旋轉基座組件;第二配置,用以將釕前驅物流供應到製程區域;第三配置,用以將反應物流供應到製程區域;第四配置,用以在製程區域中提供電漿。
第7圖描繪根據本揭示內容之一或多個實施例之用於在基板上形成含釕層之方法。方法700一般從702開始,其中提供基板,並將基板安置到處理腔室內,其中將於所述基板上形成含釕層。如本文所使用,「基板表面」指的是上面可形成層的任何基板表面。基板表面可具有形成在該基板表面中之一或多個特徵、形成在該基板表面上之一或多個層,及所述一或多個特徵與一或多個層之組合。可在沉積含釕層之前預處理基板(或基板表面),例如,藉由研磨、蝕刻、還原、氧化、鹵化、羥基化、退火、烘烤等方式。
基板可為能夠使材料沉積於該基板上之任何基板,如矽基板、III-V族化合物基板、矽鍺(SiGe)基板、磊晶基板、絕緣體上矽(silicon-on-insulator;SOI)基板、顯示器基板(如,液晶顯示器(LCD)基板、電漿顯示器基板、電致發光(electro luminescence;EL)燈顯示器基板)、太陽能陣列、太陽能面板、發光二極體(light emitting diode;LED)基板、半導體晶圓等。在某些實施例中,可將一或多個額外的層設置於基板上,以使得含釕層可至少部分地形成於該一或多個額外的層上。舉例而言,在某些實施例中,可將包含金屬、氮化物、氧化物等或前述各者之組合的層設置於基板上,且可使含釕層形成於此層或此等層上。
於704,在基板上形成含釕層。可經由諸如原子層沉積(ALD)等循環沉積製程形成含釕層。在某些實施例中,經由循環沉積製程形成含釕層大體上可包含:使基板分別暴露於兩種或更多種製程氣體。在時域ALD實施例中,對各製程氣體之暴露由時間延遲/暫停所分隔,以允許製程氣體之組分黏附於基板表面及/或在基板表面上反應。替代地或在組合中,在某些實施例中,可在將基板暴露於製程氣體之前及/或之後進行淨化,其中可用惰性氣體來進行淨化。舉例而言,可將第一製程氣體提供至製程腔室,隨後以惰性氣體淨化。接著,可將第二製程氣體提供至製程腔室,隨後以惰性氣體淨化。在某些實施例中,可將惰性氣體持續提供至製程腔室,且可使第一製程氣體按劑量投配進入製程腔室內或以脈衝方式進入製程腔室內,接著使第二製程氣體按劑量投配進入製程腔室內或以脈衝方式進入製程腔室內。在這樣的實施例中,在第一製程氣體及第二製程氣體之按劑量投配之間可出現延遲或暫停,以允許連續流動之惰性氣體在製程氣體之按劑量投配之間淨化製程腔室。
在空間ALD實施例中,對各製程氣體之暴露同時發生在基板的不同部位,致使在基板的一個部位暴露於第一反應性氣體的同時,基板的一個不同部位暴露於第二反應性氣體(若僅使用兩種反應性氣體的話)。相對於氣體輸送系統移動基板,使得基板上的各點依序暴露於第一和第二反應性氣體二者。在時域ALD或空間ALD製程之任何實施例中,可重複處理順序直到基板表面上形成預定的層厚度為止。
如本文所使用,「脈衝(pulse)」或「劑量(dose)」欲代表間歇地或非連續地引入製程腔室內之源氣體的量。取決於脈衝的持續時間,特定化合物在各脈衝內的量可隨著時間推移而變化。特定製程氣體可包括單一化合物或兩種或更多種化合物之混合/組合,例如,如下文描述之製程氣體。
各脈衝/劑量之持續時間為可變的,且可經調整以適應,例如,處理腔室之體積容量以及耦接至處理腔室之真空系統的容量。此外,製程氣體之劑量時間(dose time)可根據製程氣體的流速、製程氣體的溫度、控制閥的類型、所使用之製程腔室的類型以及製程氣體之組分吸附至基板表面上的能力而變化。亦可基於正在形成之層的類型及正在形成之元件的幾何形貌來變化劑量時間。劑量時間應足夠長,以提供足以吸附/化學吸附至基板的實質上整體表面上的一定體積的化合物,並在所述整體表面上形成製程氣體組分之層。
於704形成含釕層的製程可始於將基板暴露於第一反應性氣體。在某些實施例中,第一反應性氣體包含釕前驅物(亦稱為含釕氣體等),並對基板暴露達第一時間段,如706所示。
釕前驅物可為任何合適的前驅物,以在基板上吸附釕層而用於後續反應。在某些實施例中,釕前驅物包含釕金屬中心和一或多個配體(ligand)。在某些實施例中,釕金屬中心包含一或多個釕原子。換句話說,在某些實施例中,釕前驅物為二聚體、三聚體或四聚體中之一或多種。
釕前驅物上之配體的數量和配體的類型可基於,例如,釕原子的氧化態,而變化。釕前驅物可為均配位型(homoleptic)或雜配位型(heteroleptic)。在某些實施例中,釕前驅物為均配位型錯合物(即,其中釕前驅物之配體是相同的)。在某些實施例中,釕前驅物為雜配位型(即,至少一個配體與其他配體不同)。在某些實施例中,釕前驅物包含十二羰基三釕(Ru3 (CO)12 )。在某些實施例中,釕前驅物包含至少一個環戊二烯基配體(Cp)或其衍生物。合適的環戊二烯基配體衍生物包括,但不限於:以一或多個烷基或胺基取代之Cp,及與另一個Cp或相異配體共價連接之Cp。在某些實施例中,釕前驅物包含雙(環戊二烯基)釕(II) (Ru(Cp)2 )。在某些實施例中,釕前驅物包含或基本上由雙(乙基環戊二烯基)釕(II) (Ru(EtCp)2 )所組成。在某些實施例中,釕前驅物包含或基本上由雙(五甲基環戊二烯基)釕(II) (Ru(Me5 Cp)2 或Ru(Cp*)2 )所組成。
以含釕氣體的形式將釕前驅物輸送至處理腔室。可以一或多個脈衝提供或連續地提供含釕氣體。含釕氣體的流速可為任何合適的流速,包括,但不限於,約1至約5000 sccm的範圍內之流速,或約2至約4000 sccm的範圍內之流速,或約3至約3000 sccm的範圍內之流速,或約5至約2000 sccm的範圍內之流速。可在任何合適的壓力下提供含釕氣體,包括,但不限於,約5毫托至約25托的範圍內之壓力,或約100毫托至約20托的範圍內之壓力,或約5托至約20托的範圍內之壓力,或約50毫托至約2000毫托的範圍內之壓力,或約100毫托至約1000毫托的範圍內之壓力,或約200毫托至約500毫托的範圍內之壓力。
將基板暴露於含釕氣體之時間段可為允許釕前驅物在(多個)基板表面的頂上形成足夠成核層所必需的任何合適的時間量。舉例而言,可將製程氣體流入製程腔室達約0.1秒至約90秒的時間段。在某些時域ALD製程中,可使含釕氣體對基板表面暴露達以下的時間:在約0.1秒至約90秒之範圍內,或在約0.5秒至約60秒之範圍內,或在約1秒至約30秒之範圍內,或在約2秒至約25秒之範圍內,或在約3秒至約20秒之範圍內,或在約4秒至約15秒之範圍內,或在約5秒至約10秒之範圍內。
在某些實施例中,可在與含釕氣體相同的時間額外提供惰性氣體至製程腔室。可將惰性氣體 (例如,作為稀釋氣體)與含釕氣體混合,或可單獨提供惰性氣體,且可以脈衝方式提供或提供恆定流動的惰性氣體。在某些實施例中,惰性氣體可在約1 sccm至約10000 sccm之範圍內的恆定流量下流入處理腔室。惰性氣體可為任何惰性氣體,舉例而言,如氬、氦、氖、氮或前述氣體之組合。在一或多個實施例中,含釕氣體在流入製程腔室之前與氬混合。
沉積期間之基板的溫度可受到控制,例如,藉由設定基板支撐件或基座的溫度。在某些實施例中,可將基板保持在以下溫度:在約0 ºC至約600 ºC之範圍內,或在約25 ºC至約500 ºC之範圍內,或在約50 ºC至約450 ºC之範圍內,或在約100 ºC至約400 ºC之範圍內,或在約100 ºC至約200 ºC之範圍內,或在約250 ºC至約350 ºC之範圍內。
在一或多個實施例中,基板溫度可受到控制,以調節沉積製程的選擇率。在某些實施例中,將基板維持在小於或等於約200 ºC,或小於或等於約150 ºC,或小於約100 ºC之溫度下,且釕沉積在絕緣材料上更甚於導電材料。在某些實施例中,將基板維持在大於或等於約250 ºC,或大於或等於約300 ºC,或大於約350 ºC之溫度下,且釕沉積在導電材料上更甚於絕緣材料。
除前述內容外,可在將基板暴露於含釕氣體的同時調節額外的製程參數。舉例而言,在某些實施例中,可將製程腔室維持在約0.2至約100托的壓力下,或在約0.3至約90托的範圍內之壓力下,或在約0.5至約80托的範圍內之壓力下,或在約1至約50托的範圍內之壓力下。
接著,於708,可使用惰性氣體淨化製程腔室(尤其在時域ALD中)。(在空間ALD製程中可能不需要此操作,因為存在分隔反應性氣體之氣幕)。惰性氣體可為任何惰性氣體,舉例而言,如氬、氦、氖、氮等。在某些實施例中,惰性氣體可與在706處將基板暴露於含釕氣體期間提供至製程腔室之惰性氣體相同,或者可與在706處將基板暴露於含釕氣體期間提供至製程腔室之惰性氣體不同。在惰性氣體相同的實施例中,可藉由以下方式進行淨化:使第一製程氣體自製程腔室轉移、允許惰性氣體流經製程腔室、淨化具有任何過量的第一製程氣體組分或反應副產物之製程腔室。在某些實施例中,可以上述結合第一製程氣體使用之相同流速提供惰性氣體,或在某些實施例中,可升高或降低流速。舉例而言,在某些實施例中,可在約0至約10000sccm的流速下將惰性氣體提供至製程腔室,以淨化製程腔室。在空間ALD中,可在反應氣體的流動之間維持淨化氣體幕,且可能不需要淨化製程腔室。在空間ALD製程的某些實施例中,可以惰性氣體淨化製程腔室或製程腔室的區域。
惰性氣體之流動可促進自製程腔室移除任何過量的第一製程氣體組分及/或過量的反應副產物,以防止第一製程氣體與第二製程氣體之不當氣相反應。舉例而言,惰性氣體之流動可自製程腔室移除過量的含釕氣體,從而防止釕前驅物與後續反應性氣體之間的反應。
隨後,於710,將基板暴露於第二製程氣體達第二時間段。第二製程氣體與基板表面上之含釕化合物反應,以產生經沉積的膜。在某些實施例中,第二反應性氣體稱為反應物。第二製程氣體可影響所產生之釕膜。舉例而言,當第二製程氣體為H2 時,可沉積釕膜,但當第二反應性氣體為矽烷或二矽烷時,可沉積矽化釕膜。
在某些實施例中,第二反應性氣體包含以下一或多種:O2 、H2 、H2 O或他們的電漿。在某些實施例中,第二反應性氣體經選擇以在基板上沉積金屬膜(如,釕膜)或金屬氧化物(如,Rux Oy )。
在某些實施例中,第二反應性氣體包含氫,且所得的形成膜為釕膜。可以大於含釕氣體之流速將氫氣體供應至基板表面。在一或多個實施例中,H2 的流速大於含釕氣體的流速之約1倍,或含釕氣體的流速之約100倍,或在含釕氣體的流速之約3000至5000倍的範圍內。在時域ALD中,氫氣可經供應達以下的時間:在約1秒至約30秒之範圍內,或在約5秒至約20秒之範圍內,或在約10秒至約15秒之範圍內。可在以下壓力下供應氫氣:在約1托至約30托之範圍內,或在約5托至約25托之範圍內,或在約10托至約20托之範圍內,或高達約50托。如本文他處所揭示,可將基板溫度維持在任何合適的溫度下。在一或多個實施例中,可將基板維持在與含釕氣體暴露期間之基板的溫度大約相同的溫度下。
接著,於712,可使用惰性氣體淨化製程腔室。舉例而言,惰性氣體可為任何惰性氣體,如氬、氦、氖、氮等。在某些實施例中,惰性氣體可與在先前處理程序期間提供至製程腔室之惰性氣體相同或者不同。在惰性氣體相同的實施例中,可藉由以下方式進行淨化:使第二製程氣體自製程腔室轉移、允許惰性氣體流經製程腔室、淨化具有任何過量的第二製程氣體組分或反應副產物之製程腔室。在某些實施例中,可以上述結合第二製程氣體使用之相同流速提供惰性氣體,或在某些實施例中,可升高或降低流速。舉例而言,在某些實施例中,可在大於0至約10,000sccm的流速下將惰性氣體提供至製程腔室,以淨化製程腔室。
儘管圖式所示之處理方法的通用實施例包括僅兩個脈衝之反應性氣體,但應理解,此僅為示例性的且可使用反應性氣體之額外脈衝。舉例而言,可藉由含有金屬前驅物氣體的第一脈衝、具有還原劑之第二脈衝,繼之以氮的第三脈衝用於氮化,來生長氮化物膜。可重複整個脈衝或重複部分脈衝。舉例而言,可重複全部三個脈衝或可僅重複兩個。此可就各循環來變化。
沉積製程可經熱或電漿增強,且其中任一者均可使用添加的電漿。儘管未繪示於圖式中,在某些實施例中,將基板暴露於電漿。在某些實施例中,電漿包含O2、H2或H2O中之一或多種的電漿。在某些實施例中,將基板暴露於反應物之電漿。在某些實施例中,電漿包含惰性氣體、稀釋氣體或載氣之電漿,所述氣體包括但不限於:氮、氬或氦。電漿可為直接電漿或遠端電漿。反應物電漿可為電導式耦合電漿(conductively coupled plasma; CCP)或電感式耦合電漿(inductively coupled plasma;ICP)。
接著,於714,判斷含釕層是否已達到預定厚度。若尚未達到預定厚度,方法700回到704以繼續形成含釕層,直到達成預定厚度為止。一旦已達成預定厚度,方法700可終止,或繼續進行至716以視情況做進一步處理(如,另一金屬膜的大量沉積)。在某些實施例中,可沉積含釕層以形成約10至約10,000Å的總層厚度,或在某些實施例中,約10至約1000Å,或在某些實施例中,約50至約5,000Å。
本揭示內容的某些實施例涉及了沉積含釕膜之方法。某些實施例之含釕膜包含以下一或多種:釕金屬、釕氧化物、釕氮化物及/或釕氧氮化物。本案所屬技術領域中具通常知識者應理解到,在原子基礎上,所沉積之膜可具有非化學計量之含量的金屬、氧及/或氮原子。
在某些實施例中,含釕膜包含大於或等於約95原子百分比的釕、大於或等於約97原子百分比的釕、大於或等於約98原子百分比的釕、大於或等於約99原子百分比的釕,或大於或等於約99.5原子百分比的釕。在一或多個實施例中,C、N和O原子的總和為含釕膜的小於或等於約5原子百分比、小於或等於約3原子百分比、小於或等於約2原子百分比、小於或等於約1原子百分比,或小於或等於約0.5原子百分比。
雖然已參照特定實施例說明了本文的揭示內容,應瞭解到,這些實施例僅說明本揭示內容的原理與應用。在本揭示內容所屬技術領域中具有通常知識者將明瞭到,可對本揭示內容的方法與設備進行各種修改與變化,而不脫離本揭示內容的精神與範圍。因此,本揭示內容欲包括在隨附申請專利範圍及其均等範圍之範疇內的修改與變化。
17‧‧‧旋轉60‧‧‧基板84‧‧‧區域100‧‧‧處理平台102‧‧‧工廠介面103‧‧‧機器人110‧‧‧中央移送站111、112、113、114、115、116‧‧‧側117‧‧‧機器人118‧‧‧第一機械臂119‧‧‧第二機械臂120‧‧‧第一批次處理腔室130‧‧‧第二批次處理腔室150‧‧‧第二處理腔室151‧‧‧第一緩衝站152‧‧‧第二緩衝站160‧‧‧狹縫閥170‧‧‧接取門180‧‧‧水箱190‧‧‧電力連接器195‧‧‧控制器200‧‧‧處理腔室220‧‧‧氣體分配組件221‧‧‧前表面222‧‧‧注入器單元223‧‧‧內周圍邊緣224‧‧‧外邊緣225‧‧‧第一反應性氣體埠227‧‧‧路徑250‧‧‧氣簾235‧‧‧第二氣體埠240‧‧‧基座組件241‧‧‧頂表面242‧‧‧凹部243‧‧‧底表面243‧‧‧底表面244‧‧‧邊緣245‧‧‧真空埠255‧‧‧淨化氣體埠260‧‧‧支撐柱262‧‧‧微調致動器270‧‧‧間隙280‧‧‧裝載鎖定腔室350a~350h‧‧‧製程區域700‧‧‧方法702~716‧‧‧步驟
因此,可詳細理解本揭示內容之上述特徵之方式,即可參照實施例更具體描述上文簡要概述之本揭示內容,其中一些實施例圖示於附加圖式中。然而,應注意,附加圖式僅圖示本揭示內容之典型實施例,且因此不欲視為本揭示內容的範疇之限制,因為本揭示內容可允許其它等效實施例。
第1圖繪示根據本揭示內容的一或多個實施例之處理平台的示意圖;
第2圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的剖面視圖;
第3圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的部份透視圖;
第4圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的示意圖;
第5圖繪示根據本揭示內容的一或多個實施例之供在批次處理腔室中使用之楔形氣體分配組件的一部分的示意圖;
第6圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的示意圖;且
第7圖圖解根據此揭示內容的一或多個實施例,使用雙脈衝循環沉積技術來形成釕層之示例性製程序列。
在附圖中,類似的部件及/或特徵可以具有相同的元件符號。進一步,可藉由在元件符號後以破折號和區別類似部件之第二符號來區別相同類型的各種部件。若在說明書中僅使用第一元件符號,則該描述適用於具有相同第一元件符號之任何一個相似部件,無論第二符號為何。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
60‧‧‧基板
200‧‧‧處理腔室
221‧‧‧前表面
224‧‧‧外邊緣
225‧‧‧第一反應性氣體埠
227‧‧‧路徑
250‧‧‧氣簾
235‧‧‧第二氣體埠
245‧‧‧真空埠
255‧‧‧淨化氣體埠
280‧‧‧裝載鎖定腔室
350a~350h‧‧‧製程區域

Claims (18)

  1. 一種選擇性沉積方法,包含以下步驟:提供一基板,該基板包含一導電材料及一絕緣材料,該導電材料具有一第一表面,而該絕緣材料具有一第二表面;將該基板控制在一預定溫度下;將該基板暴露於一釕前驅物;以及將該基板暴露於一反應物,該反應物包含以下一或多種:O2、H2或H2O,其中當該預定溫度為大於或等於約250℃時,釕選擇性地沉積在該第一表面上更甚於該第二表面,且當該預定溫度為小於或等於約200℃時,釕選擇性地沉積在該第二表面上更甚於該第一表面,且其中以大於或等於約5之一選擇率沉積釕。
  2. 如請求項1所述之方法,其中該導電材料包含:一金屬、一金屬氮化物、一金屬氧化物或前述材料之組合。
  3. 如請求項2所述之方法,其中該導電材料包含以下一或多種:Cu、Co、W、Ta、Ti或前述材料之氧化物或氮化物。
  4. 如請求項1所述之方法,其中該絕緣材料包含矽。
  5. 如請求項4所述之方法,其中該絕緣材料包含以下一或多種:SiO2、SiN或高電阻Si。
  6. 如請求項1所述之方法,其中該導電材料基本上由W組成,且該絕緣材料基本上由SiO2組成。
  7. 如請求項1所述之方法,其中該導電材料基本上由TiN組成,且該絕緣材料基本上由SiN組成。
  8. 如請求項1所述之方法,其中該釕前驅物包含至少一個環戊二烯基配體或環戊二烯基配體之一衍生物。
  9. 如請求項8所述之方法,其中該釕前驅物基本上由Ru(Cp)2或Ru(EtCp)2組成。
  10. 如請求項1所述之方法,其中釕選擇性地沉積在該第二表面上更甚於該第一表面,且該基板被維持在約25℃至約200℃的範圍內之一溫度下。
  11. 如請求項1所述之方法,其中釕選擇性地沉積在該第一表面上更甚於該第二表面,且該基板被維持在約250℃至約500℃的範圍內之一溫度下。
  12. 一種選擇性沉積方法,包含以下步驟:提供一基板,該基板包含一導電材料及一絕緣材料,該導電材料具有一第一表面,而該絕緣材料具有一第二表面;將該基板暴露於一釕前驅物;以及 將該基板暴露於一反應物,該反應物包含以下一或多種:O2、H2或H2O,其中將該基板維持在小於或等於約200℃之一溫度下,且釕以大於或等於約5之一選擇率選擇性地沉積在該第二表面上更甚於該第一表面。
  13. 如請求項12所述之方法,其中該導電材料包含以下一或多種:Cu、Co、W、Ta、Ti或前述材料之氧化物或氮化物。
  14. 如請求項12所述之方法,其中該絕緣材料包含以下一或多種:SiO2、SiN或高電阻Si。
  15. 如請求項12所述之方法,其中該導電材料基本上由W組成,且該絕緣材料基本上由SiO2組成。
  16. 如請求項12所述之方法,其中該導電材料基本上由TiN組成,且該絕緣材料基本上由SiN組成。
  17. 如請求項12所述之方法,其中該釕前驅物包含至少一個環戊二烯基配體或環戊二烯基配體之一衍生物。
  18. 如請求項17所述之方法,其中該釕前驅物基本上由Ru(Cp)2或Ru(EtCp)2組成。
TW107143568A 2017-12-16 2018-12-05 釕的選擇性原子層沉積 TWI790320B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762599691P 2017-12-16 2017-12-16
US62/599,691 2017-12-16

Publications (2)

Publication Number Publication Date
TW201928108A TW201928108A (zh) 2019-07-16
TWI790320B true TWI790320B (zh) 2023-01-21

Family

ID=66814223

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107143568A TWI790320B (zh) 2017-12-16 2018-12-05 釕的選擇性原子層沉積

Country Status (7)

Country Link
US (1) US11066743B2 (zh)
JP (1) JP7094367B2 (zh)
KR (1) KR102451599B1 (zh)
CN (1) CN111492467B (zh)
SG (1) SG11202005302SA (zh)
TW (1) TWI790320B (zh)
WO (1) WO2019118841A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112969813B (zh) * 2018-11-08 2024-04-30 恩特格里斯公司 使用钌前体和还原气体的化学气相沉积方法
JP7296806B2 (ja) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi膜の形成方法及び基板処理システム
JP2023502764A (ja) * 2019-11-26 2023-01-25 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 原子層堆積のためのルテニウムピラゾレート前駆体及び類似法
US11270911B2 (en) 2020-05-06 2022-03-08 Applied Materials Inc. Doping of metal barrier layers
US11587873B2 (en) 2020-05-06 2023-02-21 Applied Materials, Inc. Binary metal liner layers
KR102536802B1 (ko) 2020-11-27 2023-05-30 (주)원익머트리얼즈 루테늄 박막의 선택적 증착 방법
US20220189763A1 (en) * 2020-12-10 2022-06-16 The Regents Of The University Of California Methods of Performing Selective Low Resistivity Ru Atomic Layer Deposition and Interconnect Formed Using the Same
KR102564112B1 (ko) * 2021-05-07 2023-08-08 (주)원익머트리얼즈 루테늄 박막의 선택적 증착 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120009773A1 (en) * 2005-03-15 2012-01-12 Asm International N.V. Selective deposition of noble metal thin films

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3976462B2 (ja) * 2000-01-26 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6440495B1 (en) 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
JP4047631B2 (ja) * 2002-05-28 2008-02-13 エルピーダメモリ株式会社 王冠構造のキャパシタを有する半導体集積回路装置およびその製造方法
EP1853745A2 (en) 2005-01-27 2007-11-14 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7402517B2 (en) * 2005-03-31 2008-07-22 Battelle Memorial Institute Method and apparatus for selective deposition of materials to surfaces and substrates
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US7737028B2 (en) 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
KR20090093148A (ko) 2008-02-28 2009-09-02 포항공과대학교 산학협력단 원자층 증착 방법에서의 증착 온도 조절을 통한 루테늄 및전도성 루테늄 산화물 박막의 상 제어방법
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US9223203B2 (en) 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
US10103057B2 (en) * 2014-11-11 2018-10-16 The Board Of Trustees Of The University Of Illinois Use of an inhibitor molecule in chemical vapor deposition to afford deposition of copper on a metal substrate with no deposition on adjacent SIO2 substrate
KR102493327B1 (ko) * 2014-11-21 2023-01-27 어플라이드 머티어리얼스, 인코포레이티드 알코올 보조 ald 막 증착
JP6591848B2 (ja) * 2015-09-30 2019-10-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120009773A1 (en) * 2005-03-15 2012-01-12 Asm International N.V. Selective deposition of noble metal thin films

Also Published As

Publication number Publication date
US20190185993A1 (en) 2019-06-20
TW201928108A (zh) 2019-07-16
CN111492467A (zh) 2020-08-04
KR102451599B1 (ko) 2022-10-06
CN111492467B (zh) 2023-08-11
KR20200087878A (ko) 2020-07-21
WO2019118841A1 (en) 2019-06-20
JP7094367B2 (ja) 2022-07-01
US11066743B2 (en) 2021-07-20
JP2021507510A (ja) 2021-02-22
SG11202005302SA (en) 2020-07-29

Similar Documents

Publication Publication Date Title
TWI790320B (zh) 釕的選擇性原子層沉積
TW202200822A (zh) 使用催化沉積的間隙填充方法
TWI755607B (zh) 金屬薄膜之催化沉積
TW201800598A (zh) 用於間隔墊應用之氮化矽薄膜的選擇性沉積
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
TWI794175B (zh) 處理基板的方法
TWI643971B (zh) 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
TW201732065A (zh) 正形及縫隙填充非晶矽薄膜的沉積
TW201702416A (zh) 用於沉積低k及低濕式蝕刻率介電薄膜的方法
CN110998788A (zh) 金属氧化物后处理方法
US20200392624A1 (en) Methods and apparatus for depositing yttrium-containing films
US20190017165A1 (en) Methods And Apparatus For Depositing Tungsten Nucleation Layers
US11664215B2 (en) High selectivity atomic later deposition process