KR102451599B1 - 루테늄의 선택적 원자 층 증착 - Google Patents

루테늄의 선택적 원자 층 증착 Download PDF

Info

Publication number
KR102451599B1
KR102451599B1 KR1020207020192A KR20207020192A KR102451599B1 KR 102451599 B1 KR102451599 B1 KR 102451599B1 KR 1020207020192 A KR1020207020192 A KR 1020207020192A KR 20207020192 A KR20207020192 A KR 20207020192A KR 102451599 B1 KR102451599 B1 KR 102451599B1
Authority
KR
South Korea
Prior art keywords
ruthenium
substrate
gas
selective deposition
processing chamber
Prior art date
Application number
KR1020207020192A
Other languages
English (en)
Other versions
KR20200087878A (ko
Inventor
이홍 첸
용 우
스리니바스 간디코타
아비짓 바수 말릭
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200087878A publication Critical patent/KR20200087878A/ko
Application granted granted Critical
Publication of KR102451599B1 publication Critical patent/KR102451599B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0047Activation or excitation of reactive gases outside the coating chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic Table
    • C07F15/0006Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic Table compounds of the platinum group
    • C07F15/0046Ruthenium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B1/00Conductors or conductive bodies characterised by the conductive materials; Selection of materials as conductors
    • H01B1/06Conductors or conductive bodies characterised by the conductive materials; Selection of materials as conductors mainly consisting of other non-metallic substances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

루테늄을 선택적으로 증착하는 방법들이 설명된다. 프로세싱 동안의 기판 온도에 기반하여 우선적인 증착 표면이 변한다. 높은 온도들에서, 루테늄이 절연성 재료의 제2 표면에 비하여 전도성 재료의 제1 표면 상에 증착된다. 더 낮은 온도들에서, 루테늄이 전도성 표면에 비하여 절연성 표면 상에 증착된다.

Description

루테늄의 선택적 원자 층 증착
[0001] 본 개시내용의 실시예들은 루테늄 막들을 선택적으로 증착하는 방법들에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 절연성 재료들에 비하여 전도성 재료들 상에 루테늄을 증착하는 방법들, 전도성 재료들에 비하여 절연성 재료들 상에 루테늄을 증착하는 방법들, 및 프로세스 선택비(selectivity)를 제어하는 방법들에 관한 것이다.
[0002] 반도체 산업은 신흥 산업들, 이를테면, 자율주행 차량들, 가상 현실, 및 미래의 모바일 디바이스들에서의 모바일 및 고성능 시스템들에 대한 필요성에 의해 주도되는 지속적인 디바이스 소형화를 위해 계속 노력하고 있다. 이러한 위업을 달성하기 위해서, 마이크로전자 디바이스들에서의 피처(feature)들의 급속한 감소 시 직면하는 필연적인 엔지니어링 및 물리 문제들을 피하기 위해, 새로운 고성능 재료들이 필요하다.
[0003] 루테늄은, 이의 높은 용융점(높은 전류 밀도들을 견디는 능력), 탁월한 밀도, 및 전류를 전도하는 능력으로 인해, 집적을 위해 새롭게 제안되는 재료이다. 루테늄 및 루테늄 함유 박막들은 매력적인 재료 및 전도성 특성들을 갖는다. 루테늄 막들은 반도체 및 마이크로전자 디바이스들의 프론트 엔드 파트에서 백 엔드 파트까지의 애플리케이션들을 위해 제안되었다.
[0004] 루테늄의 박막들은 박막 증착 기법들, 이를테면 CVD(Chemical Vapor Deposition) 및 ALD(Atomic Layer Deposition)를 사용하여 이상적으로 증착될 수 있는데, 이는 높은 처리량으로 컨포멀(conformal)하고 정밀한 방식으로 재료를 증착하는 이들의 고유 능력으로 인한 것이다.
[0005] 반도체 디바이스들의 설계가 발전됨에 따라, 반도체 산업에서의 정밀한 재료 제조는 원자 스케일 치수들의 시대로 진입하였다. 원자 스케일에서, 단지 수십 개의 원자들에 성패가 달려 있기 때문에, 에러에 대한 마진이 없고, 즉, 모든 각각의 원자가 중요하다. 이러한 전례 없는 난제는 원자 레벨 정밀도들을 갖는 새로운 재료 프로세싱 기법들을 요구한다. 그러나, 원자 스케일 디바이스 제조에 요구되는 프로세스 플로우의 복잡성의 증가는 제조 비용을 상당히 증가시킬 수 있다.
[0006] 선택적 증착 기술들은 반도체 막 패터닝에서 화학적으로-선택적인 원자-층 정밀성에 대한 가능성을 제공한다. 선택적 증착은 또한, 리소그래피 또는 다른 프로세스들을 제거함으로써, 더 간단한 프로세스 플로우들에 대한 가능성을 제공한다.
[0007] 재료들의 선택적 증착은 다양한 방식들로 달성될 수 있다. 예컨대, 일부 프로세스들은 표면들의 표면 케미스트리(chemistry)에 기반하여 표면들에 대한 고유 선택비를 가질 수 있다. 이들 프로세스들은 상당히 희소하고, 일반적으로, 금속들 및 유전체들과 같이 매우 상이한 표면 에너지들을 갖는 표면들을 가질 필요가 있다.
[0008] 따라서, 다양한 기판 재료들 상에 루테늄 막들을 선택적으로 증착하는 방법들이 필요하다.
[0009] 본 개시내용의 하나 이상의 실시예들은 선택적 증착 방법에 관한 것이며, 선택적 증착 방법은 제1 표면을 갖는 전도성 재료 및 제2 표면을 갖는 절연성 재료를 포함하는 기판을 제공하는 단계를 포함한다. 기판은 루테늄 전구체에 노출된다. 기판은 반응물에 노출된다. 반응물은 O2, H2, 또는 H2O 중 하나 이상을 포함한다. 루테늄은 제2 표면에 비하여 제1 표면 상에 선택적으로 증착되거나, 또는 제1 표면에 비하여 제2 표면 상에 선택적으로 증착된다.
[0010] 본 개시내용의 부가적인 실시예들은 선택적 증착 방법에 관한 것이며, 선택적 증착 방법은 제1 표면을 갖는 전도성 재료 및 제2 표면을 갖는 절연성 재료를 포함하는 기판을 제공하는 단계를 포함한다. 전도성 재료는 Cu, Co, W, Ta, Ti, 또는 이들의 산화물들 또는 질화물들 중 하나 이상을 포함한다. 절연성 재료는 SiO2, SiN, 또는 고 저항(high resistance) Si 중 하나 이상을 포함한다. 기판은 루테늄 전구체에 노출된다. 기판은 반응물에 노출된다. 반응물은 O2, H2, 또는 H2O 중 하나 이상을 포함한다. 기판은 약 250 ℃ 이상의 온도로 유지되고, 루테늄이 제2 표면에 비하여 제1 표면 상에 선택적으로 증착된다.
[0011] 본 개시내용의 추가적인 실시예들은 선택적 증착 방법에 관한 것이며, 선택적 증착 방법은 제1 표면을 갖는 전도성 재료 및 제2 표면을 갖는 절연성 재료를 포함하는 기판을 제공하는 단계를 포함한다. 전도성 재료는 Cu, Co, W, Ta, Ti, 또는 이들의 산화물들 또는 질화물들 중 하나 이상을 포함한다. 절연성 재료는 SiO2, SiN, 또는 고 저항 Si 중 하나 이상을 포함한다. 기판은 루테늄 전구체에 노출된다. 기판은 반응물에 노출된다. 반응물은 O2, H2, 또는 H2O 중 하나 이상을 포함한다. 기판은 약 200 ℃ 이하의 온도로 유지되고, 루테늄이 제1 표면에 비하여 제2 표면 상에 선택적으로 증착된다.
[0012] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0013] 도 1은 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 플랫폼의 개략도를 도시한다.
[0014] 도 2는 본 개시내용의 하나 이상의 실시예에 따른 배치(batch) 프로세싱 챔버의 단면도를 도시한다.
[0015] 도 3은 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 부분 사시도를 도시한다.
[0016] 도 4는 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0017] 도 5는 본 개시내용의 하나 이상의 실시예에 따른, 배치 프로세싱 챔버에서 사용하기 위한 웨지 형상 가스 분배 조립체의 일부의 개략도를 도시한다.
[0018] 도 6은 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0019] 도 7은 본 개시내용의 하나 이상의 실시예에 따른, 2펄스 순환 증착 기법을 사용하여 루테늄 층을 형성하기 위한 예시적인 프로세스 시퀀스를 예시한다.
[0020] 첨부된 도면들에서, 유사한 컴포넌트들 및/또는 피처들은 동일한 참조 라벨을 가질 수 있다. 추가로, 동일한 타입의 다양한 컴포넌트들은 참조 라벨 뒤에 대시 및 제2 라벨이 후속되게 함으로써 구별될 수 있으며, 그 제2 라벨은 유사한 컴포넌트들을 구별한다. 본 명세서에서 제1 참조 라벨만이 사용된 경우, 설명은, 제2 참조 라벨과 무관하게, 동일한 제1 참조 라벨을 갖는 유사한 컴포넌트들 중 임의의 하나에 적용가능하다.
[0021] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에서 제시되는 구성 또는 프로세스 루틴들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하고, 그리고 다양한 방식들로 실시 또는 수행될 수 있다.
[0022] 본 개시내용의 실시예들은 루테늄 함유 막들을 선택적으로 증착하기 위한 방법들을 제공한다. 다양한 실시예들의 방법들은 선택된 기판 표면들 상에 루테늄 막들을 제공하기 위해 ALD(atomic layer deposition)를 사용한다.
[0023] 일부 실시예들에서, 기판은 전도성 재료 및 절연성 재료를 포함한다. 전도성 재료의 표면은 제1 표면으로 지칭된다. 절연성 재료의 표면은 제2 표면으로 지칭된다.
[0024] 일부 실시예들에서, 전도성 재료는 금속, 금속, 금속 질화물, 금속 산화물, 또는 이들의 조합을 포함한다. 일부 실시예들에서, 전도성 재료는 Cu, Co, W, Ta, Ti, 또는 이들의 산화물들, 질화물들 또는 옥시나이트라이드들 중 하나 이상을 포함하거나 또는 필수적으로 포함한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "~를 필수적으로 포함한다"라는 용어는 약 95%, 98%, 또는 99% 이상의 특정된 재료가 명시된 재료인 것을 의미한다.
[0025] 절연성 재료는 전도성 재료에 대한 선택비를 나타내는 임의의 적합한 유전체일 수 있다. 절연성 재료는 고-k(k>5) 또는 저-k(k<5) 유전체일 수 있다. 일부 실시예들에서, 절연성 재료는 실리콘을 포함한다. 일부 실시예들에서, 절연성 재료는 SiO2, SiN, 또는 고 저항 Si 중 하나 이상을 포함하거나 또는 필수적으로 포함한다. 이와 관련하여 사용되는 바와 같이, "고 저항 Si"는 순수 실리콘 재료보다 더 높은 저항을 갖는 실리콘 재료를 의미한다. 일부 실시예들에서, 고 저항 Si 재료는 표면 상에 산화 층을 갖는다. 따라서, 일부 실시예들에서, 고 저항 실리콘은 표면 상에 SiOx의 얇은 층을 갖는 실리콘이다.
[0026] 일부 실시예들에서, 전도성 재료는 W를 필수적으로 포함하고, 절연성 재료는 SiO2를 필수적으로 포함한다. 일부 실시예들에서, 전도성 재료는 TiN을 필수적으로 포함하고, 절연성 재료는 SiN을 필수적으로 포함한다.
[0027] 본 개시내용의 실시예들은 다른 표면에 비하여 하나의 표면 상에 루테늄 함유 막들을 선택적으로 증착하는 방법들을 제공한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "다른 표면에 비하여 하나의 표면 상에 막을 선택적으로 증착함" 등과 같은 용어는, 제1 표면 상에 제1 양의 막이 증착되고, 제2 표면 상에 제2 양의 막이 증착되는 것(여기서, 제2 양의 막은 제1 양의 막 미만이거나, 또는 제2 표면 상에 막이 증착되지 않음)을 의미한다. 이와 관련하여 사용되는 "비하여(over)"라는 용어는 하나의 표면이 다른 표면 위에 있는 물리적 배향을 암시하는 것이 아니라, 하나의 표면에 대한 화학 반응의 열역학적 또는 동역학적 특성과 비교한 다른 표면에 대한 화학 반응의 열역학적 또는 동역학적 특성 간의 관계를 암시한다. 예컨대, 절연성 재료 표면에 비하여 전도성 재료 표면 상에 루테늄 막을 선택적으로 증착하는 것은, 전도성 재료 표면 상에 루테늄 막이 증착되고 절연성 재료 표면 상에 루테늄 막이 거의 또는 전혀 증착되지 않는 것을 의미하거나; 또는 전도성 재료 표면 상의 루테늄 막의 형성이 절연성 재료 표면 상의 루테늄 막의 형성과 비교하여 열역학적으로 또는 동역학적으로 유리한 것을 의미한다.
[0028] 선택적 증착 프로세스에서, 막 또는 층이 기판 표면의 하나의 부분 상에서 상이한 기판 부분보다 더 유리하게 증착될 것이다. 증착 프로세스의 선택비는 일반적으로, 성장 레이트의 배수로서 표현된다. 예컨대, 하나의 표면이 상이한 표면보다 25배 더 빠르게 성장(또는 증착)되는 경우, 프로세스는 25:1의 선택비를 갖는 것으로 설명될 것이다. 이와 관련하여, 더 높은 비율들은 더 선택적인 프로세스들을 표시한다.
[0029] 본 개시내용의 일부 실시예들은 유리하게, 절연성 재료 표면에 비하여(절연성 재료 표면과 비교하여) 전도성 재료 표면에 유리한 선택적 증착을 위한 방법들을 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 전도성 재료 표면에 비하여 절연성 재료 표면에 유리한 선택적 증착을 제공한다. 놀랍게도, 본 발명자들은 일부 실시예들의 선택비가 기판 온도를 제어함으로써 제어될 수 있다는 것을 발견하였다.
[0030] 일부 실시예들에서, 기판은 약 250 ℃ 이상의 온도로 유지되고, 루테늄이 제2 표면에 비하여 제1 표면 상에 선택적으로 증착된다. 일부 실시예들에서, 기판은 약 200 ℃ 이하의 온도로 유지되고, 루테늄이 제1 표면에 비하여 제2 표면 상에 선택적으로 증착된다. 일부 실시예들에서, 선택비는 약 5:1 초과이다. 이론에 의해 구속됨 없이, 선택비는 지속되는 것이 아니라 200 ℃와 250 ℃ 사이에서 "플립(flip)"되는 것으로 여겨진다.
[0031] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판"이라는 용어는 프로세스가 작용하는, 표면, 또는 표면의 부분을 지칭한다. 문맥상 명확하게 달리 표시되지 않는 한, 기판에 대한 언급이 기판의 일부만을 지칭할 수 있다는 것이 당업자에 의해 또한 이해될 것이다. 부가적으로, 기판 상의 증착에 대한 언급은 베어(bare) 기판, 및 하나 이상의 막들 또는 피처들이 상부에 증착 또는 형성된 기판 둘 모두를 의미할 수 있다.
[0032] 본원에서 사용되는 바와 같이, "기판 표면"은 막 프로세싱이 수행되는, 임의의 기판 부분, 또는 기판 상에 형성된 재료 표면 부분을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함한다(그러나 이에 제한되지는 않음). 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 히드록실화, 어닐링, UV 경화, e-빔 경화, 및/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 그 자체의 표면에 대한 직접적인 막 프로세싱에 부가하여, 본 개시내용에서, 개시되는 막 프로세싱 루틴들 중 임의의 루틴은 또한, 아래에서 더 상세히 개시되는 바와 같이 기판 상에 형성된 하층에 대해 수행될 수 있고, "기판 표면"이라는 용어는, 문맥상 표시되는 바와 같이, 그러한 하층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다. 기판들은, 200 mm 또는 300 mm 직경 웨이퍼들 뿐만 아니라 직사각형 또는 정사각형 판유리들과 같이, 다양한 치수들을 가질 수 있다. 일부 실시예들에서, 기판은 강성 불연속 재료를 포함한다.
[0033] 본원에서 사용되는 바와 같은 "원자 층 증착" 또는 "순환 증착"은, 기판 표면 상에 재료의 층을 증착하기 위한, 2개 이상의 반응성 화합물들의 순차적인 노출을 지칭한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 화합물", "반응성 가스", "반응성 종", "전구체", "프로세스 가스" 등과 같은 용어들은, 표면 반응(예컨대, 화학흡착, 산화, 환원) 시에, 기판 표면, 또는 기판 표면 상의 재료와 반응할 수 있는 종을 갖는 물질을 의미하기 위해 상호 교환가능하게 사용된다. 기판, 또는 기판의 일부는 프로세싱 챔버의 반응 구역 내로 도입되는 2개 이상의 반응성 화합물들에 개별적으로 노출된다. 시간-도메인 ALD 프로세스에서, 각각의 반응성 화합물에 대한 노출은, 각각의 화합물이 기판 표면 상에 접착되고 그리고/또는 반응한 후에 프로세싱 챔버로부터 퍼징될 수 있게 하기 위해, 일정 시간 지연만큼 분리된다. 이들 반응성 화합물들은 순차적으로 기판에 노출된다고 한다. 공간적 ALD 프로세스에서, 기판 표면 또는 기판 표면 상의 재료의 상이한 부분들은, 기판 상의 임의의 주어진 포인트가 하나 초과의 반응성 화합물에 실질적으로 동시에 노출되지 않도록, 2개 이상의 반응성 화합물들에 동시에 노출된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로"라는 용어는, 당업자에 의해 이해될 바와 같이, 확산으로 인해 기판의 작은 부분이 다수의 반응성 가스들에 동시에 노출될 수 있는 가능성이 있고 이 동시 노출은 의도된 것이 아님을 의미한다.
[0034] 시간-도메인 ALD 프로세스의 일 양상에서, 제1 반응성 가스(즉, 제1 전구체 또는 또는 화합물 A)가 반응 구역 내로 펄싱된 후에 제1 시간 지연이 후속된다. 다음으로, 제2 전구체 또는 화합물 B가 반응 구역 내로 펄싱된 후에 제2 지연이 후속된다. 각각의 시간 지연 동안, 퍼지 가스, 이를테면 아르곤이, 반응 구역을 퍼징하거나, 또는 다른 방식으로, 반응 구역으로부터 임의의 잔여 반응성 화합물, 또는 반응 부산물들을 제거하기 위해, 프로세싱 챔버 내로 도입된다. 대안적으로, 퍼지 가스는, 반응성 화합물들의 펄스들 사이의 시간 지연 동안 퍼지 가스만이 유동하도록, 증착 프로세스 전체에 걸쳐 연속적으로 유동할 수 있다. 대안적으로, 반응성 화합물들은 기판 표면 상에 원하는 막 또는 막 두께가 형성될 때까지 펄싱된다. 어느 시나리오에서나, 화합물 A, 퍼지 가스, 화합물 B, 및 퍼지 가스를 펄싱하는 ALD 프로세스가 하나의 사이클이다. 사이클은 화합물 A 또는 화합물 B 중 어느 하나로 시작할 수 있고, 미리 결정된 두께를 갖는 막을 달성할 때까지, 사이클의 각각의 순서를 계속할 수 있다.
[0035] 공간적 ALD 프로세스의 실시예에서, 제1 반응성 가스 및 제2 반응성 가스(예컨대, 수소 가스)는 반응 구역에 동시에 전달되지만, 불활성 가스 커튼 및/또는 진공 커튼에 의해 분리된다. 기판은, 기판 상의 임의의 주어진 포인트가 제1 반응성 가스 및 제2 반응성 가스에 노출되도록, 가스 전달 장치에 대하여 이동된다.
[0036] 본 개시내용의 일부 실시예들은 본원에서 개시되는 바와 같은 프로세싱 플랫폼 상에서 수행되는 공간적 ALD 프로세스를 활용한다. 도면들을 참조하면, 도 1은 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 플랫폼(100)을 도시한다. 도 1에 도시된 실시예는 단지 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 이해되지 않아야 한다. 예컨대, 일부 실시예들에서, 프로세싱 플랫폼(100)은 상이한 수의 프로세스 챔버들, 버퍼 챔버들, 및 로봇 구성들을 갖는다.
[0037] 프로세싱 플랫폼(100)은 복수의 측면들(111, 112, 113, 114, 115, 116)을 갖는 중앙 이송 스테이션(110)을 포함한다. 중앙 이송 스테이션(110)은 제1 측면(111), 제2 측면(112), 제3 측면(113), 제4 측면(114), 제5 측면(115), 및 제6 측면(116)을 갖는 것으로 도시된다. 6개의 측면들이 도시되어 있지만, 예컨대, 프로세싱 플랫폼(100)의 전체 구성에 따라, 중앙 이송 스테이션(110)에 임의의 적합한 개수의 측면들이 있을 수 있음을 당업자는 이해할 것이다.
[0038] 이송 스테이션(110)은 이송 스테이션(110)에 포지셔닝된 로봇(117)을 갖는다. 로봇(117)은 프로세싱 동안 웨이퍼를 이동시킬 수 있는 임의의 적합한 로봇일 수 있다. 일부 실시예들에서, 로봇(117)은 제1 암(118) 및 제2 암(119)을 갖는다. 제1 암(118) 및 제2 암(119)은 다른 암과 독립적으로 이동될 수 있다. 제1 암(118) 및 제2 암(119)은 x-y 평면에서 그리고/또는 z-축을 따라 이동할 수 있다. 일부 실시예들에서, 로봇(117)은 제3 암 또는 제4 암(미도시)을 포함한다. 암들 각각은 다른 암들과 독립적으로 이동할 수 있다.
[0039] 중앙 이송 스테이션(110)의 제1 측면(111)에 제1 배치 프로세싱 챔버(120)가 연결될 수 있다. 제1 배치 프로세싱 챔버(120)는 배치 시간(batch time) 동안 한 번에 x개의 웨이퍼들을 프로세싱하도록 구성될 수 있다. 일부 실시예들에서, 제1 배치 프로세싱 챔버(120)는 동시에 약 4개(x = 4) 내지 약 12개(x = 12)의 범위의 웨이퍼들을 프로세싱하도록 구성될 수 있다. 일부 실시예들에서, 제1 배치 프로세싱 챔버(120)는 동시에 6개(x = 6)의 웨이퍼들을 프로세싱하도록 구성된다. 당업자에 의해 이해될 바와 같이, 제1 배치 프로세싱 챔버(120)가 개별 웨이퍼의 로딩/언로딩 사이에 다수의 웨이퍼들을 프로세싱할 수 있지만, 각각의 웨이퍼는 임의의 주어진 시간에 상이한 프로세스 조건들을 거칠 수 있다. 예컨대, 도 2 내지 도 6에 도시된 바와 같은 공간적 원자 층 증착 챔버는 상이한 프로세싱 구역들에서 상이한 프로세스 조건들에 웨이퍼를 노출시키고, 그에 따라, 웨이퍼가 구역들 각각을 통해 이동될 때, 프로세스가 완료된다.
[0040] 도 2는 인젝터들 또는 인젝터 조립체라고 또한 지칭되는 가스 분배 조립체(220) 및 서셉터 조립체(240)를 포함하는 프로세싱 챔버(200)의 단면을 도시한다. 가스 분배 조립체(220)는 프로세싱 챔버에서 사용되는 임의의 타입의 가스 전달 디바이스이다. 가스 분배 조립체(220)는 서셉터 조립체(240)를 향하는 전방 표면(221)을 포함한다. 전방 표면(221)은 서셉터 조립체(240) 쪽으로 가스들의 유동을 전달하기 위한 임의의 수의 또는 다양한 개구들을 가질 수 있다. 가스 분배 조립체(220)는 또한, 외측 에지(224)를 포함하며, 도시된 실시예들에서, 외측 에지(224)는 실질적으로 둥글다.
[0041] 사용되는 가스 분배 조립체(220)의 특정 타입은 사용되고 있는 특정 프로세스에 따라 변화될 수 있다. 본 개시내용의 실시예들은 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 타입의 프로세싱 시스템에 대해 사용될 수 있다. 다양한 타입들의 가스 분배 조립체들(예컨대, 샤워헤드들)이 이용될 수 있지만, 본 개시내용의 실시예들은 복수의 실질적으로 평행한 가스 채널들을 갖는 공간적 가스 분배 조립체들에 대해 특히 유용할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 평행한"이라는 용어는 가스 채널들의 연장 축이 동일한 일반적인 방향으로 연장되는 것을 의미한다. 가스 채널들의 평행성에 약간의 불완전성들이 존재할 수 있다. 이원 반응에서, 복수의 실질적으로 평행한 가스 채널들은 적어도 하나의 제1 반응성 가스 A 채널, 적어도 하나의 제2 반응성 가스 B 채널, 적어도 하나의 퍼지 가스 P 채널, 및/또는 적어도 하나의 진공 V 채널을 포함할 수 있다. 제1 반응성 가스 A 채널(들), 제2 반응성 가스 B 채널(들), 및 퍼지 가스 P 채널(들)로부터 유동하는 가스들은 웨이퍼의 최상부 표면 쪽으로 지향된다. 가스 유동의 일부는 웨이퍼의 표면에 걸쳐 수평으로 이동하고, 퍼지 가스 P 채널(들)을 통해 프로세스 구역 밖으로 이동한다. 가스 분배 조립체의 하나의 단부로부터 다른 단부로 이동하는 기판은 프로세스 가스들 각각에 차례로 노출될 것이고, 그에 따라, 기판 표면 상에 층이 형성될 것이다.
[0042] 일부 실시예들에서, 가스 분배 조립체(220)는 단일 인젝터 유닛으로 이루어진 강성의 고정된 바디이다. 하나 이상의 실시예들에서, 도 3에 도시된 바와 같이, 가스 분배 조립체(220)는 복수의 개별 섹터들(예컨대, 인젝터 유닛들(222))로 구성된다. 설명되는 본 개시내용의 다양한 실시예들에 대해 단일 피스 바디 또는 멀티-섹터 바디가 사용될 수 있다.
[0043] 서셉터 조립체(240)는 가스 분배 조립체(220) 아래에 포지셔닝된다. 서셉터 조립체(240)는 최상부 표면(241), 및 최상부 표면(241) 내의 적어도 하나의 오목부(242)를 포함한다. 서셉터 조립체(240)는 또한, 최하부 표면(243) 및 에지(244)를 갖는다. 오목부(242)는 프로세싱되고 있는 기판들(60)의 형상 및 사이즈에 따라 임의의 적합한 형상 및 사이즈로 이루어질 수 있다. 도 2에 도시된 실시예에서, 오목부(242)는 웨이퍼의 최하부를 지지하기 위해 평탄한 최하부를 갖지만, 오목부의 최하부는 다양할 수 있다. 일부 실시예들에서, 오목부는 오목부의 외측 주변 에지 주위에 스텝 구역들을 가지며, 그 스텝 구역들은 웨이퍼의 외측 주변 에지를 지지하도록 사이즈가 설정된다. 스텝들에 의해 지지되는 웨이퍼의 외측 주변 에지의 양은, 예컨대, 웨이퍼의 두께 및 웨이퍼의 배면 상에 이미 존재하는 피처들의 존재에 따라 변화될 수 있다.
[0044] 일부 실시예들에서, 도 2에 도시된 바와 같이, 서셉터 조립체(240)의 최상부 표면(241) 내의 오목부(242)는 오목부(242)에서 지지되는 기판(60)이 서셉터(240)의 최상부 표면(241)과 실질적으로 동일 평면에 있는 최상부 표면(61)을 갖도록 사이즈가 설정된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면에 있음"이라는 용어는 웨이퍼의 최상부 표면과 서셉터 조립체의 최상부 표면이 ±0.2 mm 내에서 동일 평면에 있는 것을 의미한다. 일부 실시예들에서, 최상부 표면들은 0.5 mm, ±0.4 mm, ±0.35 mm, ±0.30 mm, ±0.25 mm, ±0.20 mm, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면에 있다.
[0045] 도 2의 서셉터 조립체(240)는 서셉터 조립체(240)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 기둥(260)을 포함한다. 서셉터 조립체는 지지 기둥(260)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 기둥(260)은 서셉터 조립체(240)와 가스 분배 조립체(220) 사이의 갭을 증가시키거나 또는 감소시켜서 서셉터 조립체(240)를 적절한 포지션으로 이동시키는 주된 수단일 수 있다. 서셉터 조립체(240)는 또한, 서셉터 조립체(240)와 가스 분배 조립체(220) 사이에 미리 결정된 갭(270)을 생성하기 위해 서셉터 조립체(240)에 대해 미세-조정들을 행할 수 있는 미세 튜닝 액추에이터들(262)을 포함할 수 있다.
[0046] 일부 실시예들에서, 갭(270) 거리는 약 0.1 mm 내지 약 5.0 mm의 범위, 또는 약 0.1 mm 내지 약 3.0 mm의 범위, 또는 약 0.1 mm 내지 약 2.0 mm의 범위, 또는 약 0.2 mm 내지 약 1.8 mm의 범위, 또는 약 0.3 mm 내지 약 1.7 mm의 범위, 또는 약 0.4 mm 내지 약 1.6 mm의 범위, 또는 약 0.5 mm 내지 약 1.5 mm의 범위, 또는 약 0.6 mm 내지 약 1.4 mm의 범위, 또는 약 0.7 mm 내지 약 1.3 mm의 범위, 또는 약 0.8 mm 내지 약 1.2 mm의 범위, 또는 약 0.9 mm 내지 약 1.1 mm의 범위, 또는 약 1 mm이다.
[0047] 도면들에 도시된 프로세싱 챔버(200)는 서셉터 조립체(240)가 복수의 기판들(60)을 홀딩할 수 있는 캐러셀-타입 챔버이다. 도 3에 도시된 바와 같이, 가스 분배 조립체(220)는 복수의 별개의 인젝터 유닛들(222)을 포함할 수 있으며, 각각의 인젝터 유닛(222)은, 웨이퍼가 인젝터 유닛 아래로 이동될 때, 웨이퍼 상에 막을 증착할 수 있다. 2개의 파이-형상 인젝터 유닛들(222)이 서셉터 조립체(240)의 대략적으로 대향하는 측들 상에 그리고 서셉터 조립체(240) 위에 포지셔닝된 것으로 도시된다. 이러한 수의 인젝터 유닛들(222)은 예시적인 목적들만을 위해 도시된다. 더 많은 또는 더 적은 인젝터 유닛들(222)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(240)의 형상과 일치하는 형상을 형성하도록 충분한 수의 파이-형상 인젝터 유닛들(222)이 존재한다. 일부 실시예들에서, 개별 파이-형상 인젝터 유닛들(222) 각각은 다른 인젝터 유닛들(222) 중 어느 것에도 영향을 미치지 않으면서 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예컨대, 로봇이 서셉터 조립체(240)와 가스 분배 조립체(220) 사이의 구역에 접근하여, 기판들(60)을 로딩/언로딩할 수 있게 하도록, 하나의 세그먼트가 상승될 수 있다.
[0048] 다수의 가스 인젝터들을 갖는 프로세싱 챔버들은 웨이퍼들이 동일한 프로세스 유동을 거치도록 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예컨대, 도 4에 도시된 바와 같이, 프로세싱 챔버(200)는 4개의 가스 인젝터 조립체들 및 4개의 기판들(60)을 갖는다. 프로세싱의 착수 시에, 기판들(60)은 가스 분배 조립체들(220) 사이에 포지셔닝될 수 있다. 45°만큼 서셉터 조립체(240)를 회전시키는 것(17)은, 가스 분배 조립체들(220) 사이에 있는 각각의 기판(60)으로 하여금, 가스 분배 조립체들(220) 아래에 점선 원으로 예시된 바와 같이, 막 증착을 위해 가스 분배 조립체(220)로 이동되게 할 것이다. 부가적인 45° 회전은 기판들(60)을 가스 분배 조립체들(220)로부터 벗어나도록 이동시킬 것이다. 기판들(60) 및 가스 분배 조립체들(220)의 수는 동일할 수 있거나 또는 상이할 수 있다. 일부 실시예들에서, 가스 분배 조립체들의 수와 동일한 수의 웨이퍼들이 프로세싱된다. 하나 이상의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는 가스 분배 조립체들의 수의 몇분의 몇(fraction) 또는 정수배이다. 예컨대, 4개의 가스 분배 조립체들이 존재하는 경우, 4x개의 웨이퍼들이 프로세싱되며, 여기서, x는 1 이상의 정수 값이다. 예시적인 실시예에서, 가스 분배 조립체(220)는 가스 커튼들에 의해 분리된 8개의 프로세스 구역들을 포함하고, 서셉터 조립체(240)는 6개의 웨이퍼들을 홀딩할 수 있다.
[0049] 도 4에 도시된 프로세싱 챔버(200)는 단지, 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 여겨지지 않아야 한다. 여기서, 프로세싱 챔버(200)는 복수의 가스 분배 조립체들(220)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(200) 주위에 균등하게 이격된 4개의 가스 분배 조립체들(220)(또한, 인젝터 조립체들이라고 지칭됨)이 존재한다. 도시된 프로세싱 챔버(200)가 팔각형이지만, 이는 하나의 가능한 형상일 뿐이며, 본 개시내용의 범위를 제한하는 것으로 여겨지지 않아야 한다는 것을 당업자는 이해할 것이다. 도시된 가스 분배 조립체들(220)이 사다리꼴이지만, 단일 원형 컴포넌트일 수 있거나, 또는 도 3에 도시된 바와 같이, 복수의 파이-형상 세그먼트들로 구성될 수 있다.
[0050] 도 4에 도시된 실시예는 로드 락 챔버(280) 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(280)는, 예컨대, 기판들(기판들(60)이라고 또한 지칭됨)이 프로세싱 챔버(200)에서 로딩/언로딩될 수 있게 하도록 프로세싱 챔버(200)의 측면에 연결된다. 웨이퍼 로봇이 서셉터 상으로 기판을 이동시키기 위해 챔버(280)에 포지셔닝될 수 있다.
[0051] 캐러셀(예컨대, 서셉터 조립체(240))의 회전은 연속적일 수 있거나 또는 단속적(불연속적)일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은 지속적으로 회전하고, 그에 따라, 웨이퍼들이 차례로 인젝터들 각각에 노출된다. 불연속적인 프로세싱에서, 웨이퍼들은 인젝터 구역으로 이동되어 정지될 수 있고, 그 후, 인젝터들 사이의 구역(84)으로 이동되어 정지될 수 있다. 예컨대, 캐러셀은, 웨이퍼들이 인젝터-간 구역으로부터 인젝터를 가로질러 이동하고(또는, 그 인젝터 근방에서 정지함), 그리고 캐러셀이 다시 멈출 수 있는 그 다음의 인젝터-간 구역으로 이동하도록, 회전할 수 있다. 인젝터들 사이에서 멈추는 것은 각각의 층 증착 사이에 부가적인 프로세싱 루틴들(예컨대, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0052] 도 5는, 인젝터 유닛(220)이라고 지칭될 수 있는, 가스 분배 조립체의 섹터 또는 부분을 도시한다. 인젝터 유닛들(222)은 개별적으로 사용될 수 있거나 또는 다른 인젝터 유닛들과 조합하여 사용될 수 있다. 예컨대, 도 6에 도시된 바와 같이, 도 5의 인젝터 유닛(222) 4개가 단일 가스 분배 조립체(220)를 형성하도록 조합된다(4개의 인젝터 유닛들을 분리하는 라인들은 명확성을 위해 도시되지 않는다). 도 5의 인젝터 유닛(222)이 퍼지 가스 포트들(255) 및 진공 포트들(245)에 부가하여 제1 반응성 가스 포트(225) 및 제2 가스 포트(235) 둘 모두를 갖지만, 인젝터 유닛(222)이 이들 컴포넌트들 전부를 필요로 하는 것은 아니다.
[0053] 도 5 및 도 6 둘 모두를 참조하면, 하나 이상의 실시예에 따른 가스 분배 조립체(220)는 복수의 섹터들(또는 인젝터 유닛들(222))을 포함할 수 있으며, 각각의 섹터는 동일하거나 또는 상이하다. 가스 분배 조립체(220)는 프로세싱 챔버 내에 포지셔닝되고, 가스 분배 조립체(220)의 전방 표면(221)에 복수의 세장형 가스 포트들(225, 235, 245)을 포함한다. 복수의 세장형 가스 포트들(225, 235, 245, 255)은 가스 분배 조립체(220)의 내측 주변 에지(223)에 인접한 영역으로부터 외측 주변 에지(224)에 인접한 영역을 향하여 연장된다. 도시된 복수의 가스 포트들은 제1 반응성 가스 포트(225), 제2 가스 포트(235), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(245), 및 퍼지 가스 포트(255)를 포함한다.
[0054] 그러나, 도 5 또는 도 6에 도시된 실시예들을 참조로, 포트들이 적어도 대략 내측 주변 구역으로부터 적어도 대략 외측 주변 구역으로 연장되는 것을 언급하는 경우, 포트들은 내측 구역으로부터 외측 구역으로 단지 반경방향으로만 연장되는 것이 아니라 그 이상의 다른 방향으로 연장될 수 있다. 포트들은, 진공 포트(245)가 반응성 가스 포트(225) 및 반응성 가스 포트(235)를 둘러싸는 것처럼 접선방향으로 연장될 수 있다. 도 5 및 도 6에 도시된 실시예에서, 웨지 형상 반응성 가스 포트들(225, 235)은 내측 주변 구역 및 외측 주변 구역에 인접한 에지들을 포함하는 모든 에지들이 진공 포트(245)에 의해 둘러싸인다.
[0055] 도 5를 참조하면, 기판이 경로(227)를 따라 이동함에 따라, 기판 표면의 각각의 부분이 다양한 반응성 가스들에 노출된다. 경로(227)를 따르면, 기판은 퍼지 가스 포트(255), 진공 포트(245), 제1 반응성 가스 포트(225), 진공 포트(245), 퍼지 가스 포트(255), 진공 포트(245), 제2 반응성 가스 포트(235), 및 진공 포트(245)에 노출될 것이거나, 또는 이들과 "마주치게(see)'될 것이다. 따라서, 도 5에서 도시된 경로(227)의 끝에서, 기판이 제1 반응성 가스 및 제2 반응성 가스에 노출되어 층이 형성되었다. 도시된 인젝터 유닛(222)은 사분원을 이루지만, 더 클 수 있거나 또는 더 작을 수 있다. 도 6에 도시된 가스 분배 조립체(220)는 도 3의 인젝터 유닛(222) 4개가 연속하여 연결된 조합인 것으로 고려될 수 있다.
[0056] 도 5의 인젝터 유닛(222)은 반응성 가스들을 분리하는 가스 커튼(250)을 도시한다. "가스 커튼"이라는 용어는 반응성 가스들이 혼합되지 않도록 분리하는 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 5에 도시된 가스 커튼(250)은 제1 반응성 가스 포트(225) 옆의 진공 포트(245)의 부분, 중간의 퍼지 가스 포트(255), 및 제2 가스 포트(235) 옆의 진공 포트(245)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은 제1 반응성 가스와 제2 반응성 가스의 가스 상 반응들을 방지하거나 또는 최소화하기 위해 사용될 수 있다.
[0057] 도 6을 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들과 진공의 조합은 복수의 프로세스 구역들(350) 내에 분리를 형성한다. 프로세스 구역들은, 가스 커튼(250)이 프로세스 구역들(350) 사이에 있는 개별 반응성 가스 포트들(225, 235) 주위로 대략적으로 정의된다. 도 6에 도시된 실시예는 8개의 별개의 가스 커튼들(250)이 사이에 있는 8개의 별개의 프로세스 구역들(350)을 구성한다. 프로세싱 챔버는 적어도 2개의 프로세스 구역을 가질 수 있다. 일부 실시예들에서, 적어도 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개, 또는 12개의 프로세스 구역들이 존재한다.
[0058] 프로세싱 동안, 기판은 임의의 주어진 시간에서 하나 초과의 프로세스 구역(350)에 노출될 수 있다. 그러나, 상이한 프로세스 구역들에 노출되는 부분들은 2개의 구역들을 분리하는 가스 커튼을 가질 것이다. 예컨대, 제2 가스 포트(235)를 포함하는 프로세스 구역에 기판의 선행 에지가 진입하는 경우, 기판의 중간 부분은 가스 커튼(250) 아래에 있을 것이고, 기판의 후행 에지는 제1 반응성 가스 포트(225)를 포함하는 프로세스 구역에 있을 것이다.
[0059] 예컨대 로드 락 챔버(280)일 수 있는 (도 4에 도시된 바와 같은) 팩토리 인터페이스가 프로세싱 챔버(200)에 연결된 것으로 도시된다. 기판(60)은 참조용 프레임(frame of reference)을 제공하기 위해 가스 분배 조립체(220) 위에 중첩된(superimposed) 것으로 도시된다. 기판(60)은 흔히, 가스 분배 조립체(220)의 전방 표면(221) 근처에 홀딩되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은 팩토리 인터페이스를 통해 프로세싱 챔버(200) 내로 기판 지지부 또는 서셉터 조립체 상으로 로딩된다(도 4 참조). 기판(60)은, 기판이 제1 반응성 가스 포트(225) 근방에 그리고 2개의 가스 커튼들(250a, 250b) 사이에 위치되기 때문에, 프로세스 구역 내에 포지셔닝된 것으로 도시될 수 있다. 경로(227)를 따라 기판(60)을 회전시키는 것은 프로세싱 챔버(200) 주위로 반시계 방향으로 기판을 이동시킬 것이다. 따라서, 기판(60)은, 제1 프로세스 구역(350a)과 제8 프로세스 구역(350h) 사이에 있는 모든 프로세스 구역들을 포함하여 제1 프로세스 구역(350a) 내지 제8 프로세스 구역(350h)에 노출될 것이다.
[0060] 본 개시내용의 일부 실시예들은 복수의 프로세스 구역들(350a 내지 350h)을 갖는 프로세싱 챔버(200)에 관한 것이며, 각각의 프로세스 구역은 가스 커튼(250)에 의해 인접한 구역으로부터 분리된다. 예컨대, 프로세싱 챔버는 도 6에 도시된다. 프로세싱 챔버 내의 가스 커튼들 및 프로세스 구역들의 수는, 가스 유동들의 어레인지먼트에 따라, 임의의 적합한 수일 수 있다. 도 6에 도시된 실시예는 8개의 가스 커튼들(250) 및 8개의 프로세스 구역들(350a 내지 350h)을 갖는다.
[0061] 다시 도 1을 참조하면, 프로세싱 플랫폼(100)은 중앙 이송 스테이션(110)의 제2 측면(112)에 연결된 처리 챔버(140)를 포함한다. 일부 실시예들의 처리 챔버(140)는 제1 배치 프로세싱 챔버(120)에서 프로세싱하기 전에 그리고/또는 프로세싱한 후에 웨이퍼들을 처리하기 위해, 프로세스에 웨이퍼들을 노출시키도록 구성된다. 일부 실시예들의 처리 챔버(140)는 어닐링 챔버를 포함한다. 어닐링 챔버는 퍼니스 어닐링 챔버 또는 급속 열 어닐링 챔버, 또는 미리 결정된 온도 및 압력에서 웨이퍼를 홀딩하고 챔버에 가스의 유동을 제공하도록 구성된 상이한 챔버일 수 있다.
[0062] 일부 실시예들에서, 프로세싱 플랫폼은 중앙 이송 스테이션(110)의 제3 측면(113)에 연결된 제2 배치 프로세싱 챔버(130)를 더 포함한다. 제2 배치 프로세싱 챔버(130)는 제1 배치 프로세싱 챔버(120)와 유사하게 구성될 수 있거나, 또는 상이한 프로세스를 수행하도록 또는 상이한 수의 기판들을 프로세싱하도록 구성될 수 있다.
[0063] 제2 배치 프로세싱 챔버(130)는 제1 배치 프로세싱 챔버(120)와 동일할 수 있거나 또는 상이할 수 있다. 일부 실시예들에서, 제1 배치 프로세싱 챔버(120) 및 제2 배치 프로세싱 챔버(130)는 동일한 배치 시간 내에 동일한 개수의 웨이퍼들에 대해 동일한 프로세스를 수행하도록 구성되고, 그에 따라, x(제1 배치 프로세싱 챔버(120) 내의 웨이퍼들의 개수)와 y(제2 배치 프로세싱 챔버(130) 내의 웨이퍼들의 개수)가 동일하게 되고, 제1 배치 시간과 (제2 배치 프로세싱 챔버(130)의) 제2 배치 시간이 동일하게 된다. 일부 실시예들에서, 제1 배치 프로세싱 챔버(120) 및 제2 배치 프로세싱 챔버(130)는 상이한 수의 웨이퍼들(x와 y가 동일하지 않음), 상이한 배치 시간들, 또는 둘 모두 중 하나 이상을 갖도록 구성된다.
[0064] 도 1에 도시된 실시예에서, 프로세싱 플랫폼(100)은 중앙 이송 스테이션(110)의 제4 측면(114)에 연결된 제2 처리 챔버(150)를 포함한다. 제2 처리 챔버(150)는 처리 챔버(140)와 동일할 수 있거나 또는 상이할 수 있다.
[0065] 프로세싱 플랫폼(100)은 로봇(117)에 연결된 제어기(195)를 포함할 수 있다(연결은 도시되지 않음). 제어기(195)는 로봇(117)의 제1 암(118)을 이용하여 처리 챔버(140)와 제1 배치 프로세싱 챔버(120) 사이에서 웨이퍼들을 이동시키도록 구성될 수 있다. 일부 실시예들에서, 제어기(195)는 또한, 로봇(117)의 제2 암(119)을 이용하여 제2 처리 챔버(150)와 제2 배치 프로세싱 챔버(130) 사이에서 웨이퍼들을 이동시키도록 구성된다.
[0066] 일부 실시예들에서, 제어기(195)는 프로세싱 챔버(200)의 가스 분배 조립체(220) 및 서셉터 조립체(240)에 연결된다. 제어기(195)는 중심 축을 중심으로 서셉터 조립체(240)를 회전(17)시키도록 구성될 수 있다. 제어기는 또한, 가스 포트들(225, 235, 245, 255)에서의 가스 유동들을 제어하도록 구성될 수 있다. 일부 실시예들에서, 제1 반응성 가스 포트(225)는 루테늄 전구체의 유동을 제공한다. 일부 실시예들에서, 제2 반응성 가스 포트(235)는 반응물의 유동을 제공한다. 일부 실시예들에서, 다른 가스 포트들(라벨 없음)이 플라즈마의 유동을 제공할 수 있다. 제1 반응성 가스 포트(225), 제2 반응성 가스 포트(235), 및 다른 반응성 가스 포트들(라벨 없음)은 임의의 프로세싱 순서로 배열될 수 있다.
[0067] 프로세싱 플랫폼(100)은 또한, 중앙 이송 스테이션(110)의 제5 측면(115)에 연결된 제1 버퍼 스테이션(151), 및/또는 중앙 이송 스테이션(110)의 제6 측면(116)에 연결된 제2 버퍼 스테이션(152)을 포함할 수 있다. 제1 버퍼 스테이션(151) 및 제2 버퍼 스테이션(152)은 동일한 또는 상이한 기능들을 수행할 수 있다. 예컨대, 버퍼 스테이션들은, 프로세싱되고 원래의 카세트로 리턴되는 웨이퍼들의 카세트를 홀딩할 수 있거나, 또는 제1 버퍼 스테이션(151)은, 프로세싱 후에 제2 버퍼 스테이션(152)으로 이동되는 프로세싱되지 않은 웨이퍼들을 홀딩할 수 있다. 일부 실시예들에서, 버퍼 스테이션들 중 하나 이상은 프로세싱 전 및/또는 후에 웨이퍼들을 사전-처리, 예열, 또는 세정하도록 구성된다.
[0068] 일부 실시예들에서, 제어기(195)는 로봇(117)의 제1 암(118)을 사용하여 처리 챔버(140)와 제1 배치 프로세싱 챔버(120) 중 하나 이상과 제1 버퍼 스테이션(151) 사이에서 웨이퍼들을 이동시키도록 구성된다. 일부 실시예들에서, 제어기(195)는 로봇(117)의 제2 암(119)을 사용하여 제2 처리 챔버(150) 또는 제2 배치 프로세싱 챔버(130) 중 하나 이상과 제2 버퍼 스테이션(152) 사이에서 웨이퍼들을 이동시키도록 구성된다.
[0069] 프로세싱 플랫폼(100)은 또한, 프로세싱 챔버들 중 임의의 프로세싱 챔버와 중앙 이송 스테이션(110) 사이에 하나 이상의 슬릿 밸브들(160)을 포함할 수 있다. 도시된 실시예에서, 중앙 이송 스테이션(110)과 프로세싱 챔버들(120, 130, 140, 150) 각각 사이에 슬릿 밸브(160)가 있다. 슬릿 밸브들(160)은 중앙 이송 스테이션(110) 내의 환경으로부터 프로세싱 챔버 내의 환경을 격리시키기 위해 개방 및 폐쇄될 수 있다. 예컨대, 프로세싱 챔버가 프로세싱 동안 플라즈마를 생성할 경우, 스트레이 플라즈마(stray plasma)가 이송 스테이션 내의 로봇을 손상시키는 것을 방지하기 위해, 그 프로세싱 챔버에 대해 슬릿 밸브를 폐쇄하는 것이 유익할 수 있다.
[0070] 일부 실시예들에서, 프로세싱 챔버들은 중앙 이송 스테이션(110)으로부터 쉽게 제거가능하지 않다. 프로세싱 챔버들 중 임의의 프로세싱 챔버에 대해 유지보수가 수행될 수 있게 하기 위해, 프로세싱 챔버들 각각은 프로세싱 챔버들의 측면들에 복수의 접근 도어들(170)을 더 포함할 수 있다. 접근 도어들(170)은, 중앙 이송 스테이션(110)으로부터 프로세싱 챔버를 제거하지 않지 않으면서, 프로세싱 챔버로의 수동적인 접근을 가능하게 한다. 도시된 실시예에서, 각각의 프로세싱 챔버의 각각의 측면은, 이송 스테이션에 연결된 측면을 제외하고, 접근 도어(170)를 갖는다. 너무 많은 접근 도어들(170)의 포함은 이용되는 프로세싱 챔버들의 구성을 복잡하게 할 수 있는데, 이는 챔버들 내의 하드웨어가 도어들을 통해 접근가능하도록 구성될 필요가 있을 것이기 때문이다.
[0071] 일부 실시예들의 프로세싱 플랫폼은 중앙 이송 스테이션(110)에 연결된 워터 박스(water box)(180)를 포함한다. 워터 박스(180)는 프로세싱 챔버들 중 임의의 프로세싱 챔버 또는 모든 프로세싱 챔버에 냉각제를 제공하도록 구성될 수 있다. "워터" 박스라고 지칭되지만, 당업자는 임의의 냉각제가 사용될 수 있다는 것을 이해할 것이다.
[0072] 일부 실시예들에서, 프로세싱 플랫폼(100)의 사이즈는 단일 전력 커넥터(190)를 통한 하우스 전력(house power)으로의 연결을 가능하게 한다. 단일 전력 커넥터(190)는 중앙 이송 스테이션(110) 및 프로세싱 챔버들 각각에 전력을 제공하기 위해 프로세싱 플랫폼(100)에 부착된다.
[0073] 프로세싱 플랫폼(100)은 웨이퍼들, 또는 웨이퍼들의 카세트들이 프로세싱 플랫폼(100) 내에 로딩될 수 있게 하기 위해 팩토리 인터페이스(102)에 연결될 수 있다. 팩토리 인터페이스(102) 내의 로봇(103)은 버퍼 스테이션들(151, 152) 내로 그리고 밖으로 웨이퍼들 또는 카세트들을 이동시킬 수 있다. 웨이퍼들 또는 카세트들은 중앙 이송 스테이션(110) 내의 로봇(117)에 의해 프로세싱 플랫폼(100) 내에서 이동될 수 있다. 일부 실시예들에서, 팩토리 인터페이스(102)는 다른 클러스터 툴의 이송 스테이션이다.
[0074] 일부 실시예들에서, 프로세싱 플랫폼(100) 또는 제1 배치 프로세싱 챔버(120)는 제어기에 연결된다. 제어기는 동일한 제어기(195)일 수 있거나 또는 상이한 제어기일 수 있다. 제어기는 제1 배치 프로세싱 챔버(120)의 가스 분배 조립체 및 서셉터 조립체에 커플링될 수 있고, 하나 이상의 구성들을 갖는다. 구성들은, 중심 축을 중심으로 서셉터 조립체를 회전시키기 위한 제1 구성, 프로세스 구역으로의 루테늄 전구체의 유동을 제공하기 위한 제2 구성, 프로세스 구역으로의 반응물의 유동을 제공하기 위한 제3 구성, 프로세스 구역에 플라즈마를 제공하기 위한 제4 구성을 포함할 수 있다(그러나 이에 제한되지는 않음).
[0075] 도 7은 본 개시내용의 하나 이상의 실시예에 따른, 기판 상에 루테늄 함유 층을 형성하기 위한 방법을 도시한다. 방법(700)은 일반적으로 702에서 시작되며, 여기서, 루테늄 함유 층이 상부에 형성될 기판이 제공되고 프로세싱 챔버 내에 배치된다. 본원에서 사용되는 바와 같이, "기판 표면"은 층이 상부에 형성될 수 있는 임의의 기판 표면을 지칭한다. 기판 표면은 기판 표면에 형성된 하나 이상의 피처들, 기판 표면 상에 형성된 하나 이상의 층들, 및 이들의 조합들을 가질 수 있다. 기판(또는 기판 표면)은, 예컨대, 폴리싱, 에칭, 환원, 산화, 할로겐화, 히드록실화, 어닐링, 베이킹 등에 의해, 루테늄 함유 층의 증착 전에 전처리될 수 있다.
[0076] 기판은 기판 상에 증착되는 재료를 가질 수 있는 임의의 기판일 수 있으며, 이를테면, 실리콘 기판, III-V 화합물 기판, 실리콘 게르마늄(SiGe) 기판, epi-기판, SOI(silicon-on-insulator) 기판, 디스플레이 기판, 이를테면 LCD(liquid crystal display), 플라즈마 디스플레이, EL(electro luminescence) 램프 디스플레이, 솔라 어레이, 솔라 패널, LED(light emitting diode) 기판, 반도체 웨이퍼 등일 수 있다. 일부 실시예들에서, 기판 상에 하나 이상의 부가적인 층들이 배치될 수 있고, 그에 따라, 그 하나 이상의 부가적인 층들 상에 적어도 부분적으로 루테늄 함유 층이 형성될 수 있다. 예컨대, 일부 실시예들에서, 금속, 질화물, 산화물 등, 또는 이들의 조합들을 포함하는 층이 기판 상에 배치될 수 있고, 그리고 그러한 층 또는 층들 상에 루테늄 함유 층이 형성되게 할 수 있다.
[0077] 704에서, 기판 상에 루테늄 함유 층이 형성된다. 루테늄 함유 층은 순환 증착 프로세스, 이를테면 ALD(atomic layer deposition) 등을 통해 형성될 수 있다. 일부 실시예들에서, 순환 증착 프로세스를 통한 루테늄 함유 층의 형성은 일반적으로, 개별적으로 2개 이상의 프로세스 가스들에 기판을 노출시키는 것을 포함할 수 있다. 시간-도메인 ALD 실시예들에서, 프로세스 가스들 각각에 대한 노출은 시간 지연/일시 중단(pause)에 의해 분리되고, 그에 따라, 프로세스 가스들의 성분들이 기판 표면 상에 접착되고 그리고/또는 반응할 수 있게 된다. 대안적으로 또는 조합하여, 일부 실시예들에서, 프로세스 가스들에 대한 기판의 노출 전에 그리고/또는 그 후에 퍼지가 수행될 수 있으며, 여기서, 퍼지를 수행하기 위해 불활성 가스가 사용된다. 예컨대, 제1 프로세스 가스가 프로세스 챔버에 제공된 후에 불활성 가스에 의한 퍼지가 후속될 수 있다. 다음으로, 제2 프로세스 가스가 프로세스 챔버에 제공된 후에 불활성 가스에 의한 퍼지가 후속될 수 있다. 일부 실시예들에서, 불활성 가스가 프로세스 챔버에 연속적으로 제공될 수 있으며, 제1 프로세스 가스가 프로세스 챔버 내로 도징(dose) 또는 펄싱된 후에, 프로세스 챔버 내로의 제2 프로세스 가스의 도즈 또는 펄스가 후속될 수 있다. 그러한 실시예들에서, 제1 프로세스 가스와 제2 프로세스 가스의 도즈 사이에 지연 또는 일시 중단이 발생되어, 프로세스 가스들의 도즈들 사이에 불활성 가스의 연속적인 유동이 프로세스 챔버를 퍼징할 수 있게 할 수 있다.
[0078] 공간적 ALD 실시예들에서, 프로세스 가스들 각각에 대한 노출이 기판의 상이한 부분들에 대해 동시에 발생되어, 기판의 하나의 부분이 제1 반응성 가스에 노출되는 동안, 기판의 상이한 부분은 제2 반응성 가스에 노출된다(2개의 반응성 가스들만이 사용되는 경우). 기판 상의 각각의 포인트가 제1 및 제2 반응성 가스들 둘 모두에 순차적으로 노출되도록, 기판이 가스 전달 시스템에 대하여 이동된다. 시간-도메인 ALD 또는 공간적 ALD 프로세스의 임의의 실시예에서, 시퀀스는 기판 표면 상에 미리 결정된 층 두께가 형성될 때까지 반복될 수 있다.
[0079] 본원에서 사용되는 바와 같은 "펄스" 또는 "도즈"는 프로세스 챔버 내로 간헐적으로 또는 불-연속적으로 도입되는 소스 가스의 양을 지칭하는 것으로 의도된다. 각각의 펄스 내의 특정 화합물의 양은 펄스의 지속기간에 따라 시간에 걸쳐 변화될 수 있다. 특정 프로세스 가스는 단일 화합물을 포함할 수 있거나, 또는 2개 이상의 화합물들의 혼합물/조합, 예컨대 아래에서 설명되는 프로세스 가스들을 포함할 수 있다.
[0080] 각각의 펄스/도즈에 대한 지속기간들은 가변적이고, 그리고, 예컨대, 프로세싱 챔버의 부피 용량 뿐만 아니라 프로세싱 챔버에 커플링된 진공 시스템의 능력들에 순응하도록 조정될 수 있다. 부가적으로, 프로세스 가스의 도즈 시간은, 프로세스 가스의 유량, 프로세스 가스의 온도, 제어 밸브의 타입, 이용되는 프로세스 챔버의 타입 뿐만 아니라, 프로세스 가스의 성분들이 기판 표면 상에 흡착하는 능력에 따라 변화될 수 있다. 도즈 시간들은 또한, 형성되는 층의 타입, 및 형성되는 디바이스의 기하형상에 기초하여 변화될 수 있다. 도즈 시간은, 실질적으로 기판의 전체 표면 상에 흡착/화학흡착하여 그 위에 프로세스 가스 성분의 층을 형성하기에 충분한 화합물의 부피를 제공할 정도로 충분히 길어야 한다.
[0081] 704에서 루테늄 함유 층을 형성하는 프로세스는 제1 반응성 가스에 기판을 노출시키는 것에 의해 시작될 수 있다. 일부 실시예들에서, 제1 반응성 가스는 루테늄 전구체(루테늄 함유 가스 등으로 또한 지칭됨)를 포함하고, 그리고 706에 도시된 바와 같이, 제1 시간 기간 동안 기판에 노출된다.
[0082] 루테늄 전구체는 추후의 반응을 위해 기판 상에 루테늄의 층을 흡착시키기 위한 임의의 적합한 전구체일 수 있다. 일부 실시예들에서, 루테늄 전구체는 루테늄 금속 중심(metal center) 및 하나 이상의 리간드들을 포함한다. 일부 실시예들에서, 루테늄 금속 중심은 하나 이상의 루테늄 원자들을 포함한다. 달리 말하면, 일부 실시예들에서, 루테늄 전구체는 이합체, 삼합체, 또는 사합체 중 하나 이상이다.
[0083] 루테늄 전구체 상의 리간드들의 타입들 및 리간드들의 수는, 예컨대, 루테늄 원자의 산화 상태에 기반하여 변할 수 있다. 루테늄 전구체는 호모렙틱(homoleptic) 또는 헤테로렙틱(heteroleptic)일 수 있다. 일부 실시예들에서, 루테늄 전구체는 호모렙틱 착물이다(즉, 여기서, 루테늄 전구체의 리간드들이 동일함). 일부 실시예들에서, 루테늄 전구체는 헤테로렙틱이다(즉, 리간드들 중 적어도 하나의 리간드가 다른 리간드와 상이함). 일부 실시예들에서, 루테늄 전구체는 트리루테늄 도데카카르보닐(Ru3(CO)12)을 포함한다. 일부 실시예들에서, 루테늄 전구체는 적어도 하나의 시클로펜타디에닐 리간드(Cp) 또는 이의 유도체를 포함한다. 시클로펜타디에닐 리간드들의 적합한 유도체들은 다른 Cp 또는 상이한 리간드와 공유 결합된 Cp 및 하나 이상의 알킬기 또는 아미노기로 치환된 Cp를 포함한다(이에 제한되지는 않음). 일부 실시예들에서, 루테늄 전구체는 비스(시클로펜타디에닐)루테늄(II)(Ru(Cp)2)을 포함한다. 일부 실시예들에서, 루테늄 전구체는 비스(에틸시클로펜타디에닐)루테늄(II)(Ru(EtCp)2)을 포함하거나 또는 필수적으로 포함한다. 일부 실시예들에서, 루테늄 전구체는 비스(펜타메틸시클로펜타디에닐)루테늄(II)(Ru(Me5Cp)2 또는 Ru(Cp*)2)을 포함하거나 또는 필수적으로 포함한다.
[0084] 루테늄 전구체는 루테늄 함유 가스로서 프로세싱 챔버에 전달된다. 루테늄 함유 가스는 하나 이상의 펄스들로 또는 연속적으로 제공될 수 있다. 루테늄 함유 가스의 유량은 약 1 내지 약 5000 sccm의 범위, 또는 약 2 내지 약 4000 sccm의 범위, 또는 약 3 내지 약 3000 sccm의 범위, 또는 약 5 내지 약 2000 sccm의 범위의 유량들을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 유량일 수 있다. 루테늄 함유 가스는 약 5 mTorr 내지 약 25 Torr의 범위, 또는 약 100 mTorr 내지 약 20 Torr의 범위, 또는 약 5 Torr 내지 약 20 Torr의 범위, 또는 약 50 mTorr 내지 약 2000 mTorr의 범위, 또는 약 100 mTorr 내지 약 1000 mTorr의 범위, 또는 약 200 mTorr 내지 약 500 mTorr의 범위의 압력을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 압력으로 제공될 수 있다.
[0085] 기판이 루테늄 함유 가스에 노출되는 시간 기간은 루테늄 전구체가 기판 표면(들)의 최상부에 적절한 핵형성 층을 형성할 수 있게 하는 데 필요한 임의의 적합한 시간의 양일 수 있다 예컨대, 프로세스 가스는 약 0.1초 내지 약 90초의 기간 동안 프로세스 챔버 내로 유동될 수 있다. 일부 시간-도메인 ALD 프로세스들에서, 루테늄 함유 가스는, 약 0.1초 내지 약 90초의 범위, 또는 약 0.5초 내지 약 60초의 범위, 또는 약 1초 내지 약 30초의 범위, 또는 약 2초 내지 약 25초의 범위, 또는 약 3초 내지 약 20초의 범위, 또는 약 4초 내지 약 15초의 범위, 또는 약 5초 내지 약 10초의 범위의 시간 동안, 기판 표면에 노출된다.
[0086] 일부 실시예들에서, 부가적으로, 불활성 가스가 루테늄 함유 가스와 동시에 프로세스 챔버에 제공될 수 있다. 불활성 가스는 루테늄 함유 가스와 (예컨대, 희석 가스로서) 혼합될 수 있거나 또는 개별적으로 제공될 수 있고, 그리고 펄싱될 수 있거나 또는 일정한 유동일 수 있다. 일부 실시예들에서, 불활성 가스는 약 1 내지 약 10000 sccm의 범위의 일정한 유동으로 프로세싱 챔버 내로 유동된다. 불활성 가스는 임의의 불활성 가스, 예컨대 이를테면, 아르곤, 헬륨, 네온, 질소, 또는 이들의 조합들일 수 있다. 하나 이상의 실시예들에서, 루테늄 함유 가스는 프로세스 챔버 내로 유동하기 전에 아르곤과 혼합된다.
[0087] 증착 동안의 기판의 온도는, 예컨대, 기판 지지부 또는 서셉터의 온도를 세팅함으로써 제어될 수 있다. 일부 실시예들에서, 기판은 약 0 ℃ 내지 약 600 ℃의 범위, 또는 약 25 ℃ 내지 약 500 ℃의 범위, 또는 약 50 ℃ 내지 약 450 ℃의 범위, 또는 약 100 ℃ 내지 약 400 ℃의 범위, 또는 약 100 ℃ 내지 약 200 ℃의 범위, 또는 약 250 ℃ 내지 약 350 ℃의 범위의 온도로 유지된다.
[0088] 하나 이상의 실시예들에서, 기판 온도는 증착 프로세스의 선택비를 조정하기 위해 제어된다. 일부 실시예들에서, 기판은 약 200 ℃ 이하, 또는 약 150 ℃ 이하, 또는 약 100 ℃ 미만의 온도로 유지되고, 루테늄은 전도성 재료에 비하여 절연성 재료 상에 증착된다. 일부 실시예들에서, 기판은 약 250 ℃ 이상, 또는 약 300 ℃ 이상, 또는 약 350 ℃ 초과의 온도로 유지되고, 루테늄은 절연성 재료에 비하여 전도성 재료 상에 증착된다.
[0089] 전술된 바에 부가하여, 루테늄 함유 가스에 기판을 노출시키는 동안, 부가적인 프로세스 파라미터들이 조절될 수 있다. 예컨대, 일부 실시예들에서, 프로세스 챔버는, 약 0.2 내지 약 100 Torr, 또는 약 0.3 내지 약 90 Torr의 범위, 또는 약 0.5 내지 약 80 Torr의 범위, 또는 약 1 내지 약 50 Torr의 범위의 압력으로 유지될 수 있다.
[0090] 다음으로, 708에서, (특히 시간-도메인 ALD에서의) 프로세스 챔버는 불활성 가스를 사용하여 퍼징될 수 있다(이는 공간적 ALD 프로세스들에서는 필요하지 않을 수 있는데, 이는 반응성 가스들을 분리하는 가스 커튼들이 있기 때문임). 불활성 가스는 임의의 불활성 가스, 예컨대 이를테면, 아르곤, 헬륨, 네온, 질소 등일 수 있다. 일부 실시예들에서, 불활성 가스는 루테늄 함유 가스에 대한 기판의 노출(706) 동안 프로세스 챔버에 제공된 불활성 가스와 동일할 수 있거나, 또는 대안적으로는 상이할 수 있다. 불활성 가스가 동일한 실시예들에서, 프로세스 챔버로부터 제1 프로세스 가스를 우회시켜서, 불활성 가스가 프로세스 챔버를 통해 유동할 수 있게 하여, 프로세스 챔버로부터 임의의 과도한 제1 프로세스 가스 성분들 또는 반응 부산물들을 퍼징함으로써, 퍼지가 수행될 수 있다. 일부 실시예들에서, 불활성 가스는 위에서 설명된, 제1 프로세스 가스에 관하여 사용된 유량과 동일한 유량으로 제공될 수 있거나, 또는 일부 실시예들에서, 유량은 증가 또는 감소될 수 있다. 예컨대, 일부 실시예들에서, 불활성 가스는, 프로세스 챔버를 퍼징하기 위해, 약 0 내지 약 10000 sccm의 유량으로 프로세스 챔버에 제공될 수 있다. 공간적 ALD에서, 퍼지 가스 커튼들이 반응성 가스들의 유동들 사이에 유지되고, 프로세스 챔버의 퍼징이 필요하지 않을 수 있다. 공간적 ALD 프로세스의 일부 실시예들에서, 프로세스 챔버, 또는 프로세스 챔버의 구역은 불활성 가스로 퍼징될 수 있다.
[0091] 불활성 가스의 유동은, 제1 및 제2 프로세스 가스들의 원하지 않는 가스 상 반응들을 방지하기 위해, 프로세스 챔버로부터 임의의 과도한 제1 프로세스 가스 성분들 및/또는 과도한 반응 부산물들을 제거하는 것을 가능하게 할 수 있다. 예컨대, 불활성 가스의 유동은 프로세스 챔버로부터 과도한 루테늄 함유 가스를 제거하여, 루테늄 전구체와 후속 반응성 가스 사이의 반응을 방지할 수 있다.
[0092] 다음으로, 710에서, 기판은 제2 시간 기간 동안 제2 프로세스 가스에 노출된다. 제2 프로세스 가스는 기판 표면 상의 루테늄 함유 화합물과 반응하여, 증착된 막을 생성한다. 일부 실시예들에서, 제2 반응성 가스는 반응물로 지칭된다. 제2 프로세스 가스는 결과적인 루테늄 막에 영향을 미칠 수 있다. 예컨대, 제2 프로세스 가스가 H2일 때, 루테늄 막이 증착될 수 있지만, 제2 반응성 가스가 실란 또는 디실란일 때, 루테늄 실리사이드 막이 증착될 수 있다.
[0093] 일부 실시예들에서, 제2 반응성 가스는 O2, H2, H2O, 또는 이들의 플라즈마들 중 하나 이상을 포함한다. 일부 실시예들에서, 제2 반응성 가스는 기판 상에 금속 막(예컨대, 루테늄 막) 또는 금속 산화물(예컨대, RuxOy)을 증착하기 위해 선택된다.
[0094] 일부 실시예들에서, 제2 반응성 가스는 수소를 포함하고, 형성되는 결과적인 막은 루테늄 막이다. 수소 가스는 루테늄 함유 가스보다 더 높은 유량으로 기판 표면에 공급될 수 있다. 하나 이상의 실시예들에서, H2의 유량은 루테늄 함유 가스의 유량의 약 1배 초과, 또는 루테늄 함유 가스의 유량의 약 100배, 또는 루테늄 함유 가스의 유량의 약 3000 내지 5000배의 범위이다. 시간-도메인 ALD에서, 수소 가스는 약 1초 내지 약 30초의 범위, 또는 약 5초 내지 약 20초의 범위, 또는 약 10초 내지 약 15초의 범위의 시간 동안 공급될 수 있다. 수소 가스는 약 1 Torr 내지 약 30 Torr의 범위, 또는 약 5 Torr 내지 약 25 Torr의 범위, 또는 약 10 Torr 내지 약 20 Torr의 범위, 또는 최대 약 50 Torr의 압력으로 공급될 수 있다. 기판 온도는 본원의 다른 곳에서 개시된 바와 같은 임의의 적합한 온도로 유지될 수 있다. 하나 이상의 실시예들에서, 기판은 루테늄 함유 가스 노출 동안의 기판의 온도와 대략 동일한 온도로 유지된다.
[0095] 다음으로, 712에서, 프로세스 챔버는 불활성 가스를 사용하여 퍼징될 수 있다. 불활성 가스는 임의의 불활성 가스, 예컨대 이를테면, 아르곤, 헬륨, 네온, 질소 등일 수 있다. 일부 실시예들에서, 불활성 가스는 이전의 프로세스 루틴들 동안 프로세스 챔버에 제공된 불활성 가스와 동일할 수 있거나, 또는 대안적으로는 상이할 수 있다. 불활성 가스가 동일한 실시예들에서, 프로세스 챔버로부터 제2 프로세스 가스를 우회시켜서, 불활성 가스가 프로세스 챔버를 통해 유동할 수 있게 하여, 프로세스 챔버로부터 임의의 과도한 제2 프로세스 가스 성분들 또는 반응 부산물들을 퍼징함으로써, 퍼지가 수행될 수 있다. 일부 실시예들에서, 불활성 가스는 위에서 설명된, 제2 프로세스 가스에 관하여 사용된 유량과 동일한 유량으로 제공될 수 있거나, 또는 일부 실시예들에서, 유량은 증가 또는 감소될 수 있다. 예컨대, 일부 실시예들에서, 불활성 가스는, 프로세스 챔버를 퍼징하기 위해, 0 초과 내지 약 10,000 sccm의 유량으로 프로세스 챔버에 제공될 수 있다.
[0096] 도면에 도시된 프로세싱 방법의 일반적인 실시예가 반응성 가스들의 2개의 펄스들만을 포함하지만, 이는 단지 예시적인 것일 뿐이고, 반응성 가스들의 부가적인 펄스들이 사용될 수 있음이 이해될 것이다. 예컨대, 금속 전구체 가스를 함유하는 제1 펄스, 환원제를 갖는 제2 펄스, 및 질화를 위한 질소의 제3 펄스에 의해, 질화물 막이 성장될 수 있다. 펄스들은 이들의 전체가 반복될 수 있거나 또는 일부가 반복될 수 있다. 예컨대, 모든 3개의 펄스들이 반복될 수 있거나, 또는 2개의 펄스들만이 반복될 수 있다. 이는 각각의 사이클에 대해 변화될 수 있다.
[0097] 증착 프로세스는 열적 또는 플라즈마 강화형일 수 있고, 플라즈마의 부가는 이들 모두와 함께 사용될 수 있다. 도면에 예시되지 않지만, 일부 실시예들에서, 기판은 플라즈마에 노출된다. 일부 실시예들에서, 플라즈마는 O2, H2, 또는 H2O 중 하나 이상의 플라즈마를 포함한다. 일부 실시예들에서, 기판은 반응물의 플라즈마에 노출된다. 일부 실시예들에서, 플라즈마는, 질소, 아르곤, 또는 헬륨을 포함하는(그러나 이에 제한되지는 않음), 불활성, 희석, 또는 캐리어 가스들의 플라즈마를 포함한다. 플라즈마는 다이렉트(direct) 플라즈마 또는 원격 플라즈마일 수 있다. 반응물 플라즈마는 CCP(conductively coupled plasma) 또는 ICP(inductively coupled plasma)일 수 있다.
[0098] 다음으로, 714에서, 루테늄 함유 층이 미리 결정된 두께를 달성하였는지 여부가 결정된다. 미리 결정된 두께가 달성되지 않은 경우, 방법(700)은, 미리 결정된 두께가 도달될 때까지 루테늄 함유 층을 형성하는 것을 계속하기 위해, 704로 리턴한다. 미리 결정된 두께가 도달되었으면, 방법(700)은 종료될 수 있거나, 또는 선택적인 추가 프로세싱(예컨대, 다른 금속 막의 벌크 증착)을 위해 716으로 진행할 수 있다. 일부 실시예들에서, 루테늄 함유 층은 약 10 내지 약 10,000 Å, 또는 일부 실시예들에서는 약 10 내지 약 1000 Å, 또는 일부 실시예들에서는 약 50 내지 약 5,000 Å의 전체 층 두께를 형성하도록 증착될 수 있다.
[0099] 본 개시내용의 일부 실시예들은 루테늄 함유 막들을 증착하는 방법들에 관한 것이다. 일부 실시예들의 루테늄 함유 막들은 루테늄 금속, 루테늄 산화물, 루테늄 질화물, 및/또는 루테늄 옥시나이트라이드 중 하나 이상을 포함한다. 당업자는 증착된 막이 원자 기초로 비화학량론적(nonstoichiometric) 양의 금속, 산소, 및/또는 질소 원자들을 가질 수 있음을 이해할 것이다.
[00100] 일부 실시예들에서, 루테늄 함유 막은 약 95 원자 퍼센트 이상의 루테늄, 약 97 원자 퍼센트 이상의 루테늄, 약 98 원자 퍼센트 이상의 루테늄, 약 99 원자 퍼센트 이상의 루테늄, 또는 약 99.5 원자 퍼센트 이상의 루테늄을 포함한다. 하나 이상의 실시예들에서, C, N, 및 O 원자들의 합은 루테늄 함유 막의 약 5 원자 퍼센트 이하, 약 3 원자 퍼센트 이하, 약 2 원자 퍼센트 이하, 약 1 원자 퍼센트 이하, 또는 약 0.5 원자 퍼센트 이하이다.
[00101] 본원의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이들 실시예들이 단지, 본 개시내용의 애플리케이션들 및 원리들을 예시할 뿐이라는 것이 이해될 것이다. 본 개시내용의 사상 및 범위로부터 벗어나지 않으면서, 본 개시내용의 방법 및 장치에 대해 다양한 변형들 및 변화들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 개시내용이 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 변형들 및 변화들을 포함하도록 의도된다.

Claims (15)

  1. 제1 표면을 갖는 전도성 재료 및 제2 표면을 갖는 절연성 재료를 포함하는 기판을 제공하는 단계;
    상기 기판을 미리 결정된 온도로 제어하는 단계;
    상기 기판 상에 루테늄 함유 화합물을 형성하기 위해 루테늄 전구체에 상기 기판을 노출시키는 단계; 및
    상기 루테늄 함유 화합물과 반응하도록 반응물에 상기 기판을 노출시키는 단계
    를 포함하며,
    상기 반응물은 O2, H2, 또는 H2O 중 하나 이상을 포함하고,
    상기 미리 결정된 온도가 250 ℃ 이상일 때, 루테늄이 상기 제2 표면에 비하여 상기 제1 표면 상에 선택적으로 증착되며, 상기 미리 결정된 온도가 200 ℃ 이하일 때, 루테늄이 상기 제1 표면에 비하여 상기 제2 표면 상에 선택적으로 증착되는,
    선택적 증착 방법.
  2. 제1 항에 있어서,
    상기 전도성 재료는 Cu, Co, W, Ta, Ti, 또는 이들의 산화물들, 질화물들 또는 옥시나이트라이드들 중 하나 이상을 포함하는,
    선택적 증착 방법.
  3. 제1 항에 있어서,
    상기 절연성 재료는 SiO2, SiN, 또는 고 저항(high resistance) Si 중 하나 이상을 포함하는,
    선택적 증착 방법.
  4. 제1 항에 있어서,
    상기 전도성 재료는 W를 필수적으로 포함하며, 상기 절연성 재료는 SiO2를 필수적으로 포함하는,
    선택적 증착 방법.
  5. 제1 항에 있어서,
    상기 전도성 재료는 TiN을 필수적으로 포함하며, 상기 절연성 재료는 SiN을 필수적으로 포함하는,
    선택적 증착 방법.
  6. 제1 항에 있어서,
    상기 루테늄 전구체는 적어도 하나의 시클로펜타디에닐 리간드 또는 이의 유도체를 포함하는,
    선택적 증착 방법.
  7. 제6 항에 있어서,
    상기 루테늄 전구체는 Ru(Cp)2 또는 Ru(EtCp)2를 필수적으로 포함하는,
    선택적 증착 방법.
  8. 제1 항에 있어서,
    루테늄은 5 이상의 선택비로 증착되는,
    선택적 증착 방법.
  9. 제1 표면을 갖는 전도성 재료 및 제2 표면을 갖는 절연성 재료를 포함하는 기판을 제공하는 단계;
    상기 기판 상에 루테늄 함유 화합물을 형성하기 위해 루테늄 전구체에 상기 기판을 노출시키는 단계; 및
    상기 루테늄 함유 화합물과 반응하도록 반응물에 상기 기판을 노출시키는 단계
    를 포함하며,
    상기 반응물은 O2, H2, 또는 H2O 중 하나 이상을 포함하고,
    상기 기판은 200 ℃ 이하의 온도로 유지되고, 루테늄이 상기 제1 표면에 비하여 상기 제2 표면 상에 선택적으로 증착되는,
    선택적 증착 방법.
  10. 제9 항에 있어서,
    상기 전도성 재료는 Cu, Co, W, Ta, Ti, 또는 이들의 산화물들 또는 질화물들 중 하나 이상을 포함하는,
    선택적 증착 방법.
  11. 제9 항에 있어서,
    상기 절연성 재료는 SiO2, SiN, 또는 고 저항 Si 중 하나 이상을 포함하는,
    선택적 증착 방법.
  12. 제9 항에 있어서,
    상기 전도성 재료는 W를 필수적으로 포함하며, 상기 절연성 재료는 SiO2를 필수적으로 포함하는,
    선택적 증착 방법.
  13. 제9 항에 있어서,
    상기 전도성 재료는 TiN을 필수적으로 포함하며, 상기 절연성 재료는 SiN을 필수적으로 포함하는,
    선택적 증착 방법.
  14. 제9 항에 있어서,
    상기 루테늄 전구체는 적어도 하나의 시클로펜타디에닐 리간드 또는 이의 유도체를 포함하는,
    선택적 증착 방법.
  15. 제9 항에 있어서,
    루테늄은 5 이상의 선택비로 증착되는,
    선택적 증착 방법.
KR1020207020192A 2017-12-16 2018-12-14 루테늄의 선택적 원자 층 증착 KR102451599B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762599691P 2017-12-16 2017-12-16
US62/599,691 2017-12-16
PCT/US2018/065681 WO2019118841A1 (en) 2017-12-16 2018-12-14 Selective atomic layer deposition of ruthenium

Publications (2)

Publication Number Publication Date
KR20200087878A KR20200087878A (ko) 2020-07-21
KR102451599B1 true KR102451599B1 (ko) 2022-10-06

Family

ID=66814223

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207020192A KR102451599B1 (ko) 2017-12-16 2018-12-14 루테늄의 선택적 원자 층 증착

Country Status (7)

Country Link
US (1) US11066743B2 (ko)
JP (1) JP7094367B2 (ko)
KR (1) KR102451599B1 (ko)
CN (1) CN111492467B (ko)
SG (1) SG11202005302SA (ko)
TW (1) TWI790320B (ko)
WO (1) WO2019118841A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020096976A1 (en) * 2018-11-08 2020-05-14 Entegris, Inc. Chemical vapor deposition processes using ruthenium precursor and reducing gas
JP7296806B2 (ja) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi膜の形成方法及び基板処理システム
EP4065743A1 (en) * 2019-11-26 2022-10-05 Merck Patent GmbH Ruthenium pyrazolate precursor for atomic layer deposition and similar processes
US11270911B2 (en) 2020-05-06 2022-03-08 Applied Materials Inc. Doping of metal barrier layers
US11587873B2 (en) 2020-05-06 2023-02-21 Applied Materials, Inc. Binary metal liner layers
KR102536802B1 (ko) 2020-11-27 2023-05-30 (주)원익머트리얼즈 루테늄 박막의 선택적 증착 방법
US20220189763A1 (en) * 2020-12-10 2022-06-16 The Regents Of The University Of California Methods of Performing Selective Low Resistivity Ru Atomic Layer Deposition and Interconnect Formed Using the Same
KR102564112B1 (ko) * 2021-05-07 2023-08-08 (주)원익머트리얼즈 루테늄 박막의 선택적 증착 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210802A (ja) * 2000-01-26 2001-08-03 Hitachi Ltd 半導体装置及びその製造方法
JP2011510517A (ja) 2008-01-22 2011-03-31 東京エレクトロン株式会社 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法
US20120009773A1 (en) * 2005-03-15 2012-01-12 Asm International N.V. Selective deposition of noble metal thin films
US20130011557A1 (en) 2011-07-08 2013-01-10 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
US20150299848A1 (en) 2014-04-16 2015-10-22 Asm Ip Holding B.V. Dual selective deposition

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440495B1 (en) * 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
JP4047631B2 (ja) * 2002-05-28 2008-02-13 エルピーダメモリ株式会社 王冠構造のキャパシタを有する半導体集積回路装置およびその製造方法
JP5043684B2 (ja) * 2005-01-27 2012-10-10 アプライド マテリアルズ インコーポレイテッド ルテニウム層堆積装置及び方法
US7402517B2 (en) * 2005-03-31 2008-07-22 Battelle Memorial Institute Method and apparatus for selective deposition of materials to surfaces and substrates
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US7737028B2 (en) 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
KR20090093148A (ko) * 2008-02-28 2009-09-02 포항공과대학교 산학협력단 원자층 증착 방법에서의 증착 온도 조절을 통한 루테늄 및전도성 루테늄 산화물 박막의 상 제어방법
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
US10103057B2 (en) * 2014-11-11 2018-10-16 The Board Of Trustees Of The University Of Illinois Use of an inhibitor molecule in chemical vapor deposition to afford deposition of copper on a metal substrate with no deposition on adjacent SIO2 substrate
US9914995B2 (en) * 2014-11-21 2018-03-13 Applied Materials, Inc. Alcohol assisted ALD film deposition
JP6591848B2 (ja) * 2015-09-30 2019-10-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210802A (ja) * 2000-01-26 2001-08-03 Hitachi Ltd 半導体装置及びその製造方法
US20120009773A1 (en) * 2005-03-15 2012-01-12 Asm International N.V. Selective deposition of noble metal thin films
JP2011510517A (ja) 2008-01-22 2011-03-31 東京エレクトロン株式会社 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法
US20130011557A1 (en) 2011-07-08 2013-01-10 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
US20150299848A1 (en) 2014-04-16 2015-10-22 Asm Ip Holding B.V. Dual selective deposition

Also Published As

Publication number Publication date
JP2021507510A (ja) 2021-02-22
SG11202005302SA (en) 2020-07-29
US11066743B2 (en) 2021-07-20
TWI790320B (zh) 2023-01-21
KR20200087878A (ko) 2020-07-21
CN111492467B (zh) 2023-08-11
TW201928108A (zh) 2019-07-16
JP7094367B2 (ja) 2022-07-01
US20190185993A1 (en) 2019-06-20
WO2019118841A1 (en) 2019-06-20
CN111492467A (zh) 2020-08-04

Similar Documents

Publication Publication Date Title
KR102451599B1 (ko) 루테늄의 선택적 원자 층 증착
US20210285102A1 (en) Gap fill methods using catalyzed deposition
US11680312B2 (en) Catalyst enhanced seamless ruthenium gap fill
KR102506466B1 (ko) 금속 막들의 촉매화된 증착
US20180312966A1 (en) Methods For Spatial Metal Atomic Layer Deposition
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
US20170114453A1 (en) Deposition Of Conformal And Gap-Fill Amorphous Silicon Thin-Films
US20200392624A1 (en) Methods and apparatus for depositing yttrium-containing films
CN111149190A (zh) 用于沉积钨成核层的方法及设备

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant