CN110998788A - 金属氧化物后处理方法 - Google Patents

金属氧化物后处理方法 Download PDF

Info

Publication number
CN110998788A
CN110998788A CN201880050680.4A CN201880050680A CN110998788A CN 110998788 A CN110998788 A CN 110998788A CN 201880050680 A CN201880050680 A CN 201880050680A CN 110998788 A CN110998788 A CN 110998788A
Authority
CN
China
Prior art keywords
plasma
oxide film
substrate
chamber
metal oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880050680.4A
Other languages
English (en)
Inventor
佐藤达也
刘炜
夏立群
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110998788A publication Critical patent/CN110998788A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

描述了包含使用水作为氧化剂通过原子层沉积形成金属氧化物膜的方法。金属氧化物膜被暴露于去耦等离子体以降低金属氧化物膜的湿式蚀刻速率,所述去耦等离子体包含He、H2或O2的一或多种。

Description

金属氧化物后处理方法
技术领域
本公开内容大体涉及沉积薄膜的方法。特别地,本公开内容涉及利用沉积后等离子体处理选择性沉积氧化铝膜的工艺。
背景技术
薄膜已在半导体制造中被广泛地用于许多工艺。例如,薄膜(例如,氧化铝)在多图案化工艺中被用作为间隔件材料以在不使用最昂贵的EUV光刻技术的情况下实现较小的器件尺寸。
传统的制造工艺包括在3D结构(例如,鳍片)上的保形膜沉积,然后进行湿式或干式蚀刻以去除层的一些部分。膜的可去除性或抗蚀刻性可能影响工艺均匀性、可重复性和准确性。在不影响膜厚度的情况下改变膜的湿式或干式蚀刻速率可提供对图案化应用的更好的控制。
因此,在本领域中需要用于控制膜的湿式或干式蚀刻速率的工艺。
发明内容
本公开内容的一或多个实施方式是针对方法,所述方法包含使用水作为氧化剂通过ALD在基板表面上形成金属氧化物膜。金属氧化物膜被暴露于去耦等离子体以降低金属氧化物膜的湿式蚀刻速率,所述去耦等离子体包含He、H2或O2的一或多种。
本公开内容的额外实施方式是针对方法,所述方法包含通过顺序暴露于铝前驱物和水在基板表面上形成氧化铝膜。氧化铝膜被暴露于去耦等离子体,所述去耦等离子体包含氧和氦的混合物。去耦等离子体具有源功率并且不具有偏压。
本公开内容的进一步实施方式是针对方法,所述方法包含通过顺序暴露于铝前驱物和水在基板表面上形成氧化铝膜。氧化铝膜被暴露于去耦等离子体,所述去耦等离子体主要由氦组成。去耦等离子体具有源功率和偏压功率。
附图说明
为了能够详细理解本公开内容的上述特征的方式,可参照实施方式获得简要概述于上文的本公开内容的更特定描述,所述实施方式的一些实施方式在附图中示出。然而,应注意,附图仅示出本公开内容的典型实施方式,且因此不被视为限制本公开的范围,因为本公开内容可允许其他同等有效的实施方式。
图1示出根据本公开内容的一或多个实施方式的处理平台的示意图。
图2示出根据本公开内容的一或多个实施方式的批量处理腔室的截面图;
图3示出根据本公开内容的一或多个实施方式的批量处理腔室的部分透视图;
图4示出根据本公开内容的一或多个实施方式的批量处理腔室的示意图;
图5示出根据本公开内容的一或多个实施方式的用于批量处理腔室中的楔形气体分配组件的一部分的示意图;
图6示出根据本公开内容的一或多个实施方式的批量处理腔室的示意图;和
图7示出根据本公开内容的一或多个实施方式的方法的示意表示图。
在附图中,类似的元件和/或特征可具有相同的元件符号。此外,相同类型的各种元件可通过在元件符号之后加上破折号和在类似元件之间区分的第二元件符号来区分。如果在本说明书中仅使用第一元件符号,那么无论第二元件符号为何,该说明适用于具有相同第一元件符号的类似元件的任一个。
具体实施方式
在描述本公开内容的若干示例性实施方式之前,应将理解,本公开内容不限于在以下描述中阐述的构造或工艺步骤的细节。本公开内容能够具有其他实施方式并且能够以各种方式被实践或执行。
本文所用的“基板”是指在制造工艺期间在其上执行膜处理的任何基板或在基板上形成的材料表面。例如,根据应用,在其上可执行处理的基板表面包括诸如硅、氧化硅、应变硅、绝缘体上硅(silicon on insulator;SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石的材料和诸如金属、金属氮化物、金属合金、和其他导电材料的任何其他材料。基板包括但不限于半导体晶片。基板可被暴露于预处理工艺,以研磨、蚀刻、还原、氧化、羟基化、退火和/或烘烤基板表面。除了直接在基板本身表面上的膜处理之外,在本公开内容中,所公开的膜处理步骤的任一个也可在如下文中更详细公开的基板上形成的下层上执行,并且术语“基板表面”旨在包括如上下文指示的这种下层。因此例如,在膜/层或部分膜/层已沉积至基板表面上的情况下,新沉积的膜/层的暴露表面变为基板表面。
如在本说明书和所附权利要求书中所使用,术语“前驱物”、“反应物”、“反应气体”等可互换地使用以表示可与基板表面反应的任何气态物种。
图1示出根据本公开内容的一或多个实施方式的处理平台100。图1中所示的实施方式仅代表一个可能的配置且不应视为限制本公开内容的范围。例如,在一些实施方式中,处理平台100具有不同数目的工艺腔室、缓冲腔室和机器人配置。
处理平台100包括中央传送站110,所述中央传送站具有多个侧面111、112、113、114、115、116。所示的传送站110具有第一侧面111、第二侧面112、第三侧面113、第四侧面114、第五侧面115和第六侧面116。尽管示出了六个侧面,但是本领域技术人员将理解,根据例如处理平台100的整体配置,传送站110可具有任何合适数量的侧面。
传送站110具有位于所述传送站中的机器人117。机器人117可以是能够在处理期间移动晶片的任何适当的机器人。在一些实施方式中,机器人117具有第一臂118和第二臂119。第一臂118和第二臂119可独立于彼此移动。第一臂118和第二臂119可在x-y平面中和/或沿着z轴移动。在一些实施方式中,机器人117包括第三臂或第四臂(未示出)。臂中的每一个可独立于其他臂移动。
批量处理腔室120可连接至中央传送站110的第一侧面111。批量处理腔室120可被配置为在批处理时间内一次处理x个晶片。在一些实施方式中,批量处理腔室120可被配置为同时处理在约四个(x=4)至约十二个(x=12)范围中的晶片。在一些实施方式中,批量处理腔室120被配置为同时处理六个(x=6)晶片。如技术人员将理解的,虽然批量处理腔室120可在各个晶片的装载/卸载之间处理多个晶片,但是每个晶片可在任何给定时间经历不同的工艺条件。例如,如图2至图6中所示的空间原子层沉积腔室将晶片暴露于不同处理区域中的不同工艺条件,以便当晶片移动通过每一区域时,工艺完成。
图2示出处理腔室200的截面,所述处理腔室包括:气体分配组件220,也称为注射器或注射器组件;和基座组件240。气体分配组件220是用于处理腔室中的任何类型的气体输送装置。气体分配组件220包括面向基座组件240的前表面221。前表面221可具有任何数目或种类的开口以朝向基座组件240输送气流。气体分配组件220还包括外边缘224,所述外边缘在所示实施方式中大体上为圆形。
所使用的气体分配组件220的特定类型可根据所使用的特定工艺而变化。本公开内容的实施方式可用于其中基座和气体分配组件之间的间隙被控制的任何类型的处理系统。尽管可以采用各种类型的气体分配组件(例如,喷头),但本公开内容的实施方式可在使用具有多个大体上平行的气体通道的空间气体分配组件时为特别有益的。如本说明书和所附权利要求书中所使用的,术语“大体上平行”意为气体通道的伸长轴在相同的大致方向上延伸。气体通道的平行度中可能有一些瑕疵。在二元反应中,多个大体上平行的气体通道可包括至少一个第一反应气体A通道、至少一个第二反应气体B通道、至少一个净化气体P通道和/或至少一个真空V通道。从一个或多个第一反应气体A通道、一个或多个第二反应气体B通道和一个或多个净化气体P通道流出的气体被导向晶片的顶表面。一些气流横跨晶片的表面水平移动并且通过一个或多个净化气体P通道流出工艺区域。从气体分配组件的一端移动至另一端的基板将依次暴露于每一种工艺气体,从而在基板表面上形成层。
在一些实施方式中,气体分配组件220是由单个注射器单元组成的刚性固定体。在一或多个实施方式中,气体分配组件220是由多个单独区段(例如,注射器单元222)组成,如图3中所示。单件主体或多区段主体的任一个可用于所描述的本公开内容的各种实施方式。
基座组件240位于气体分配组件220下方。基座组件240包括顶表面241和在顶表面241中的至少一个凹槽242。基座组件240还具有底表面243和边缘244。取决于正被处理的基板60的形状和大小,凹槽242可以是任何适当的形状和大小。在图2中所示的实施方式中,凹槽242具有用于支撑晶片底部的平底;然而,凹槽的底部可变化。在一些实施方式中,凹槽具有围绕凹槽的外周边边缘的台阶区域,所述台阶区域的大小适于支撑晶片的外周边边缘。由台阶支撑的晶片的外周边边缘的量可根据例如晶片的厚度和已存在于晶片背侧上的特征的存在而变化。
在一些实施方式中,如图2中所示,基座组件240的顶表面241中的凹槽242的大小适于使得支撑在凹槽242中的基板60具有与基座240的顶表面241大体上共面的顶表面61。如本说明书和所附权利要求书中所使用的,术语“大体上共面”意为晶片的顶表面和基座组件的顶表面在±0.2mm之内共面。在一些实施方式中,顶表面在0.5mm、±0.4mm、±0.35mm、±0.30mm、±0.25mm、±0.20mm、±0.15mm、±0.10mm或±0.05mm之内共面。
图2的基座组件240包括支撑柱260,所述支撑柱能够提升、降低和旋转基座组件240。基座组件可包括在支撑柱260的中心之内的电气部件、加热器、或气体管线。支撑柱260可以是增加或减小基座组件240和气体分配组件220之间的间隙,将基座组件240移动到适当位置的主要手段。基座组件240也可包括微调致动器262,所述微调致动器可对基座组件240进行微调整以在基座组件240和气体分配组件220之间产生预定间隙270。
在一些实施方式中,间隙270的距离是在约0.1mm至约5.0mm的范围中,或在约0.1mm至约3.0mm的范围中,或在约0.1mm至约2.0mm的范围中,或在约0.2mm至约1.8mm的范围中,或在约0.3mm至约1.7mm的范围中,或在约0.4mm至约1.6mm的范围中,或在约0.5mm至约1.5mm的范围中,或在约0.6mm至约1.4mm的范围中,或在约0.7mm至约1.3mm的范围中,或在约0.8mm至约1.2mm的范围中,或在约0.9mm至约1.1mm的范围中,或约1mm。
附图中所示的处理腔室200是转盘型腔室,在所述腔室中,基座组件240可保持多个基板60。如图3中所示,气体分配组件220可包括多个分离的注射器单元222,当晶片在注射器单元下方移动时,每个注射器单元222能够在晶片上沉积膜。两个饼形注射器单元222被示出而定位在基座组件240的大致相对侧上并且在基座组件240上方。所示出的注射器单元222的数量是仅用于说明性目的。应将理解,可包括更多或更少的注射器单元222。在一些实施方式中,存在足够数量的饼形注射器单元222以形成与基座组件240的形状一致的形状。在一些实施方式中,各个饼形注射器单元222的每一个可在不影响任一个其他注射器单元222的情况下独立地移动、被移除和/或替换。例如,一个部分可升高以允许机器人进入基座组件240和气体分配组件220之间的区域,以装载/卸载基板60。
具有多个气体注射器的处理腔室可用于同时处理多个晶片,以便晶片经历相同工艺流程。例如,如图4中所示,处理腔室200具有四个气体注射器组件和四个基板60。在处理开始时,基板60可定位在气体分配组件220之间。旋转17基座组件240达45度将导致在气体分配组件60之间的每一基板60被移动至气体分配组件220以用于膜沉积,如由在气体分配组件220之下的虚线圆所示。额外的45度旋转将移动基板60离开气体分配组件220。基板60和气体分配组件220的数量可相同或不同。在一些实施方式中,存在与气体分配组件相同数量的正被处理的晶片。在一或多个实施方式中,正被处理的晶片的数量是气体分配组件的数量的分数或整数倍。例如,如果存在四个气体分配组件,那么有4x个晶片正被处理,其中x是大于或等于一的整数值。在示例性实施方式中,气体分配组件220包括由气幕分隔的八个工艺区域并且基座组件240可保持六个晶片。
图4中所示的处理腔室200仅表示一个可能的配置且不应视为限制本公开内容的范围。在此处,处理腔室200包括多个气体分配组件220。在所示的实施方式中,有四个气体分配组件220(也称为注射器组件)围绕处理腔室200均匀地间隔。所示的处理腔室200是八边形;然而,本领域技术人员将理解,这是一个可能的形状并且不应作为本公开内容范围的限制。所示的气体分配组件220是梯形的,但是可以是单个圆形部件或由多个饼形部分组成,如图3中所示。
图4中所示的实施方式包括装载锁定腔室280,或如缓冲腔室的辅助腔室。该腔室280被连接至处理腔室200的侧面,以允许例如从腔室200装载/卸载基板(也称为基板60)。晶片机器人可位于腔室280中以移动基板至基座上。
转盘(例如,基座组件240)的旋转可以是连续或间歇的(不连续的)。在连续的处理中,晶片不断旋转以便所述晶片依次暴露于每一个注射器。在不连续的处理中,晶片可移动至注射器区域并且停止,且随后移动至注射器之间的区域84并且停止。例如,转盘可旋转以便晶片从注射器间区域移动跨过注射器(或相邻于注射器停止)且移动至其中转盘可再次暂停的下一个注射器间区域。在注射器之间暂停可为在每一层沉积(例如,暴露于等离子体)之间的额外处理步骤提供时间。
图5示出气体分配组件220的一区段或部分,所述区段或部分可被称为注射器单元222。注射器单元222可单独使用或与其他注射器单元结合使用。例如,如图6中所示,四个图5的注射器单元222被组合以形成单个气体分配组件220。(为了清楚起见,未示出分离四个注入器单元的线。)虽然图5的注射器单元222除净化气体端口255和真空端口245之外还具有第一反应气体端口225和第二气体端口235,但是注射器单元222不需要所有这些部件。
参看图5和图6两者,根据一或多个实施方式的气体分配组件220可包含多个区段(或注射器单元222),其中每个区段相同或不同。气体分配组件220位于处理腔室之内,并且在气体分配组件220的前表面221中包含多个伸长的气体端口225、235、245。多个伸长的气体端口225、235、245、255从相邻于气体分配组件220的内周边边缘223的区域朝向相邻于气体分配组件220的外周边边缘224的区域延伸。所示的多个气体端口包括第一反应气体端口225、第二气体端口235、围绕第一反应气体端口和第二反应气体端口的每一个的真空端口245和净化气体端口255。
然而,参看图5或图6中所示的实施方式,当叙述端口从至少内周边区域附近延伸至至少外周边区域附近时,端口可不仅仅是从内部区域径向延伸至外部区域。当真空端口245围绕反应气体端口225和反应气体端口235时,所述端口可切向延伸。在图5和图6中所示的实施方式中,楔形反应气体端口225、235在所有边缘上(包括相邻于内周边区域和外周边区域的边缘)由真空端口245围绕。
参看图5,当基板沿着路径227移动时,基板表面的每一部分暴露于各种反应气体。遵循路径227,基板将暴露于或“看到”净化气体端口255、真空端口245、第一反应气体端口225、真空端口245、净化气体端口255、真空端口245、第二气体端口235和真空端口245。因此,在图5中所示的路径227的终端,基板已被暴露于第一反应气体225和第二反应气体235以形成层。所示的注射器单元222形成四分之一圆,但是可更大或更小。图6中所示的气体分配组件220可视为串联连接的四个图4的注射器单元222的组合。
图5的注射器单元222示出将反应气体分隔的气幕250。术语“气幕”用于描述将反应气体分隔以免混合的气流或真空的任何组合。图5中所示的气幕250包含在第一反应气体端口225旁边的真空端口245的部分、在中间的净化气体端口255和在第二气体端口235旁边的真空端口245的一部分。气流和真空的这种组合可用于防止或最小化第一反应气体和第二反应气体的气相反应。
参看图6,来自气体分配组件220的气流和真空的组合分隔出多个工艺区域350。利用350之间的气幕250,工艺区域大致界定在各个气体端口225、235周围。图6中所示的实施方式组成八个分隔的工艺区域350,其间具有八个单独的气幕250。处理腔室可具有至少两个工艺区域。在一些实施方式中,具有至少三个、四个、五个、六个、七个、八个、九个、十个、十一个或十二个工艺区域。
在处理期间,基板可在任何给定时间暴露于多于一个工艺区域350。然而,暴露于不同工艺区域的部分将具有分隔两个工艺区域的气幕。例如,如果基板的前缘进入包括第二气体端口235的工艺区域,那么基板的中间部分将在气幕250下方,并且基板的后缘将在包括第一反应气体端口225的工艺区域中。
可以是例如装载锁定腔室的工厂界面280被示出连接至处理腔室200。基板60被示出为叠加在气体分配组件220之上以提供参考框。基板60可通常位于基座组件上以被保持在气体分配板220的前表面221附近。基板60经由工厂界面280被装载至处理腔室200中,至基板支撑件或基座组件上(见图4)。基板60可被示为位于工艺区域中,因为基板相邻于第一反应气体端口225定位且位于两个气幕250a、250b之间。沿着路径227旋转基板60将围绕处理腔室200逆时针移动基板。因此,基板60将暴露于第一工艺区域350a至第八工艺区域350h,包括在所述第一工艺区域和第八工艺区域之间的所有工艺区域。
本公开内容的一些实施方式针对包含具有多个工艺区域350a至350h的处理腔室200的处理方法,其中每一工艺区域与相邻区域通过气幕250分隔。上述处理腔室例如图6中所示的处理腔室。根据气流的布置,在处理腔室之内的气幕和工艺区域的数量可以是任何适当数量。图6中所示的实施方式具有八个气幕250和八个工艺区域350a至350h。
返回参看图1,处理平台100包括连接至中央传送站110的第二侧面112的预清洁腔室140。预清洁腔室140被配置为将晶片暴露于湿式蚀刻或干式蚀刻的一或多个,所述湿式蚀刻包含稀释(1%)的氢氟酸,所述干式蚀刻包含基于等离子体的蚀刻。例如,基于等离子体的蚀刻工艺可能将基板表面暴露于氨和HF的混合物。
在一些实施方式中,处理平台进一步包含连接至中央传送站110的第三侧面113的第二批量处理腔室130。第二批量处理腔室130可与批量处理腔室120类似地配置,或可被配置为执行不同工艺或处理不同数量的基板。
第二批量处理腔室130可与第一批量处理腔室120相同或不同。在一些实施方式中,第一批量处理腔室120和第二批量处理腔室130被配置为在相同的批处理时间以相同数量的晶片执行相同的工艺,以便x和y(在第二批量处理腔室130中的晶片数量)相同并且第一批处理时间和第二批处理时间(第二批量处理腔室130的批处理时间)相同。在一些实施方式中,第一批量处理腔室120和第二批量处理腔室130被配置为具有不同数目的晶片(x不等于y)、不同的批处理时间、或两者的一或多个。
在图1中所示的实施方式中,处理平台100包括连接至中央传送站110的第四侧面114的第二预清洁腔室150。第二预清洁腔室150可与预清洁腔室140相同或不同。在一些实施方式中,第一和第二批量处理腔室120、130被配置为在相同的批处理时间内处理相同数目的晶片(x=y),并且第一和第二单晶片处理腔室140、150被配置为以相同的时间量(1/x=1/y)执行相同的工艺。
处理平台100可包括连接至机器人117的控制器195(未示出所述连接)。控制器195可被配置为利用机器人117的第一臂118在预清洁腔室140和第一批量处理腔室120之间移动晶片。在一些实施方式中,控制器195还被配置为利用机器人117的第二臂119在第二单晶片处理腔室150和第二批量处理腔室130之间移动晶片。
处理平台100还可包括第一缓冲站151和/或第二缓冲站152,所述第一缓冲站连接至中央传送站110的第五侧面115,所述第二缓冲站连接至中央传送站110的第六侧面116。第一缓冲站151和第二缓冲站152可执行相同或不同的功能。例如,缓冲站可保持晶片的盒匣,所述晶片被处理且返回至原始盒匣;或者第一缓冲站151可保持未处理的晶片,所述晶片在处理之后被移动至第二缓冲站152。在一些实施方式中,一或多个缓冲站被配置为在处理之前和/或之后预处理、预加热或清洁晶片。
在一些实施方式中,控制器195被配置为使用机器人117的第一臂118在预清洁腔室140和第一批量处理腔室120的一或多个与第一缓冲站151之间移动晶片。在一些实施方式中,控制器195被配置为使用机器人117的第二臂119在第二单晶片处理腔室150或第二批量处理腔室130的一或多个与第二缓冲站152之间移动晶片。
处理平台100还可包括在中央传送站110和任一个处理腔室之间的一或多个狭缝阀160。在所示的实施方式中,在处理腔室120、130、140、150的每一个与中央传送站110之间都有狭缝阀160。狭缝阀160可打开和关闭以将处理腔室之内的环境与中央传送站110之内的环境隔离。例如,如果处理腔室将在处理期间产生等离子体,那么将所述处理腔室的狭缝阀关闭以防止杂散等离子体损坏传送站中的机器人将可能是有益的。
在一些实施方式中,处理腔室不易于从中央传送站110移除。为了允许对任一个处理腔室执行维护,处理腔室的每一个可进一步在处理腔室的侧面上包括多个检修门170。检修门170允许在不从中央传送站110移除处理腔室的情况下人工进入处理腔室。在所示的实施方式中,除了连接至传送站的侧面,每个处理腔室的每一侧面都具有检修门170。包含如此多的检修门170可能使所采用的处理腔室的构造复杂化,因为腔室之内的硬件将需要被配置为可通过所述门够到。
一些实施方式的处理平台包括连接至传送腔室110的水箱180。水箱180可被配置为提供冷却液至处理腔室的任一个或所有处理腔室。尽管被称为“水”箱,但是本领域技术人员将理解,可使用任何冷却液。
在一些实施方式中,处理平台100的大小允许通过单电源连接器190连接至厂房电源。单电源连接器190附接至处理平台100以提供功率至处理腔室和中央传送站110的每一个。
处理平台100可连接至工厂界面102,以允许晶片或晶片盒匣被装载至平台100中。工厂界面102之内的机器人103可移动晶片或盒匣进出缓冲站151、152。晶片或盒匣可通过中央传送站110中的机器人117在平台100之内移动。在一些实施方式中,工厂界面102是另一群集工具的传送站。
在一些实施方式中,第二预清洁腔室150是等离子体处理腔室。一些实施方式的等离子体处理腔室将基板暴露于包含氦的去耦等离子体。
参看图7,一些实施方式使用具有槽382的等离子体组件380。等离子体在等离子体空腔384中形成并且通过槽382流向基板表面300。图7中所示的视图是截面视图,其中槽382延伸出页面。等离子体空腔384中形成的等离子体流过的槽382具有边缘386。在一些实施方式中,等离子体相邻于槽382的边缘386具有高的离子能量和浓度。
本公开内容的一或多个实施方式是针对形成金属氧化物膜的方法。金属氧化物膜可通过技术人员已知的任何适当方法形成。适当的方法包括但不限于,原子层沉积(atomiclayer deposition;ALD)、化学气相沉积(chemical vapor deposition;CVD)、物理气相沉积(physical vapor deposition;PVD)、外延生长和氧化生长。在一些实施方式中,金属氧化物膜通过原子层沉积工艺沉积或形成,基板在所述工艺中顺序地暴露于金属前驱物和反应物以形成金属氧化物。
根据正在执行的工艺和正被制造的装置,金属氧化物膜可以是任何适当金属氧化物。在一些实施方式中,金属氧化物膜是具有小于约12的介电常数的低介电常数介电材料,或者是高介电常数电介质。在一些实施方式中,金属氧化物包含氧化铝。在一些实施方式中,金属氧化物基本上由氧化铝组成。如本说明书和所附权利要求书中所使用的,术语“基本上由氧化铝组成”意为金属氧化物膜有大于或等于约95%、98%或99%的铝和氧原子。在一些实施方式中,在原子基础上,氧化铝膜包含小于或等于约2.5%、2.4%、2.3%、2.2%、2.1%、2.0%、1.9%、1.8%、1.7%、1.6%、1.5%、1.4%、1.3%、1.2%、1.1%或1.0%的碳。在一些实施方式中,在原子基础上,氧化铝膜包含小于或等于约0.5%、0.4%、0.3%、0.2%或0.1%的氮。
在一些实施方式中,金属氧化物膜包含通过将基板顺序暴露于铝前驱物和氧反应物形成的氧化铝。铝前驱物可以是可形成氧化铝的任何适当化合物。在一些实施方式中,铝前驱物包含三烷基铝或卤化铝。在一些实施方式中,三烷基铝包含三甲基铝(trimethylaluminum;TMA)。在一些实施方式中,铝前驱物基本上由TMA组成。如此方式中所使用的,术语“基本上由TMA组成”是指按重量计,排除可能包括的稀释气体、载气或惰性气体的量,铝前驱物的含铝反应组分是大于或等于约95%、98%或99%的TMA。
氧反应物可以是任何适当的氧反应物,所述氧反应物可与通过暴露于铝前驱物而产生或形成的表面物种反应。在一些实施方式中,氧反应物包含水、氧气、臭氧、过氧化物、N2O、NO2或NO的一或多个。在一些实施方式中,氧反应物包含水蒸气。在一些实施方式中,氧反应物基本上由水蒸气组成。如此方式中所使用的,术语“基本上由水蒸气组成”是指按摩尔计,氧反应物中的含氧反应物种是大于或等于约95%、98%或99%的水蒸气。
可重复对金属前驱物和氧反应物的顺序暴露,直到金属氧化物膜已形成至预定厚度或达预定数目的循环(每一循环是对金属前驱物和氧反应物的一次暴露)。在一些实施方式中,沉积方法包含CVD反应且金属前驱物和氧反应物以气相混合,并且金属氧化物膜沉积至预定厚度。
在形成至预定厚度之后,金属氧化物膜被暴露于去耦等离子体以降低金属氧化物膜的湿式蚀刻速率和/或干式蚀刻速率。去耦等离子体可在远程等离子体源(如图7的等离子体源)中形成并且被允许流动至处理腔室的处理区域中以与基板上的金属氧化物膜反应。在一些实施方式中,去耦等离子体包含He、H2或O2的一或多种。在一些实施方式中,去耦等离子体包含氦。在一些实施方式中,去耦等离子基本上由氦组成。如此方式中所使用的,术语“基本上由氦组成”是指以原子计,等离子体物种是大于或等于约95%、98%或99%的氦。
在一些实施方式中,去耦等离子体包含氦和氧。在一些实施方式中,去耦等离子体基本上由氦和氧组成。如此方式中所使用的,术语“基本上由氦和氧组成”是指以原子计,等离子体物种是大于或等于约95%、98%或99%的氦和氧。在去耦等离子体中的氦与氧的比率可变化。在一些实施方式中,He∶O2比率是在约1∶10至约10∶1的范围中,或在约1∶5至约5∶1的范围中,或在约1∶2至约2∶1的范围中,或约1∶1。
在一些实施方式中,去耦等离子体是定向等离子体。如本说明书和所附权利要求书中所使用的,术语定向等离子体是指一种等离子体暴露,其中偏压被施加于基板或基板支撑件以驱动等离子体中的离子和/或自由基朝向基板移动。非定向等离子体可具有仅施加至等离子体源的源功率(Ws)。定向等离子体可具有施加至等离子体源的源功率(Ws)和施加至基板或基板支撑件的偏压功率(Wb)。在一些实施方式中,去耦等离子体是非定向等离子体并且源功率是在约1000W至约5000W的范围中,或约2000W。
在一些实施方式中,去耦等离子体是定向等离子体并且源功率是在约100W至约500W的范围中,或在约200W至约400W的范围中,或是约250W;并且偏压功率是在约100W至约500W的范围中,或在约150W至约400W的范围中,或是约200W。在一些实施方式中,所述方法包含源功率并且无偏压功率。如此方式中所使用的,术语“无偏压功率”是指没有施加至基板或基板支撑件的有意的偏压。在一些实施方式中,所述方法包含源功率和偏压功率。
在暴露于去耦等离子体之前,金属氧化物膜厚度可沉积至预定量。在一些实施方式中,在金属氧化物膜的最终厚度的沉积期间,去耦等离子体被暴露于金属氧化物膜多于一次。在一些实施方式中,在不超过20、30、40、50、60、70、80、90或100个原子层沉积循环之后,基板被暴露于去耦等离子体以沉积膜。
在一些实施方式中,在暴露于去耦等离子体之后的金属氧化物膜的湿式蚀刻速率低于在等离子体暴露之前的湿式蚀刻速率。在一些实施方式中,等离子体暴露的金属氧化物膜在非常稀释的HF(1∶1100HF∶H2O)中的湿式蚀刻速率小于或等于约
Figure BDA0002379697470000131
Figure BDA0002379697470000132
Figure BDA0002379697470000133
在一些实施方式中,在非常稀释的HF中的湿式蚀刻速率小于或等于在暴露于等离子体之前的膜的湿式蚀刻速率的约50%、45%、40%、35%、30%、25%、20%或15%。
在一些实施方式中,等离子体暴露的金属氧化物膜在70℃下在1∶1∶50的过氧化氢∶氢氧化铵∶水的混合物中的湿式蚀刻速率小于或等于约
Figure BDA0002379697470000134
Figure BDA0002379697470000135
Figure BDA0002379697470000136
Figure BDA0002379697470000137
在一些实施方式中,在70℃下在1∶1∶50的过氧化氢:氢氧化铵:水中的湿式蚀刻速率小于或等于在暴露于等离子体之前的膜的湿式蚀刻速率的约50%、45%、40%、35%、30%、25%、20%或15%。
实施例
使用三甲基铝和水通过原子层沉积沉积了氧化铝膜。氧化铝膜随后经历去耦等离子体。确定了氧化铝膜的厚度变化并且将结果收集在表1中。观察到,去耦等离子体对膜的厚度几乎没有影响。
表1
Figure BDA0002379697470000138
Figure BDA0002379697470000141
等离子体处理对膜组分的影响表明去耦等离子体处理对膜组分几乎没有影响。
测量了等离子体处理对在室温下使用非常稀释的HF(1∶1100HF∶H2O)的湿式蚀刻速率的影响且结果展示于表2中。
表2
Figure BDA0002379697470000142
还在70℃下使用H2O2∶NH4OH∶H2O的1∶1∶50的混合物蚀刻膜并且结果在表3中示出。
表3
Figure BDA0002379697470000143
Figure BDA0002379697470000151
根据一或多个实施方式,基板在形成层之前和/或之后经受处理。该处理可在同一腔室中或在一或多个另外的处理腔室中执行。在一些实施方式中,基板从第一腔室移动至另外的第二腔室,以便进一步处理。基板可直接从第一腔室移动至另外的处理腔室,或者基板可从第一腔室移动至一或多个传送腔室,且随后移动至另外的处理腔室。因此,处理设备可包含与传送站连通的多个腔室。此种设备可被称为“群集工具”或“群集系统”等等。
通常,群集工具是包含多个腔室的模块化系统,所述多个腔室执行各种功能,包括基板中心寻找和定向、脱气、退火、沉积和/或蚀刻。根据一或多个实施方式,群集工具包括至少第一腔室和中央传送腔室。中央传送腔室可容纳机器人,所述机器人可在处理腔室和装载锁定腔室之间及之中往返运送基板。传送腔室通常被保持在真空条件下,并且提供用于从一个腔室向另一腔室和/或装载锁定腔室往返运送基板的中间平台,所述装载锁定腔室位于群集工具的前端。可适于本发明的两个众所周知的群集工具是均可从Santa Clara,Calif的Applied Materials,Inc.获得的
Figure BDA0002379697470000152
Figure BDA0002379697470000153
然而,为了执行本文所述的工艺的特定步骤的目的,腔室的精确布置和组合可改变。可使用的其他处理腔室包括但不限于循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、诸如RTP的热处理、等离子体氮化、脱气、定向、羟基化和其他基板工艺。通过在群集工具上的腔室中执行工艺,在沉积后续膜之前,可在无需氧化的情况下避免基板表面被大气杂质污染。
根据一或多个实施方式,当基板从一腔室移动至下一个腔室时,基板连续地在真空或“装载锁定”条件下,而不暴露于周围空气。传送腔室因此在真空下并且在真空压力下被“抽空”。惰性气体可存在于处理腔室或传送腔室中。在一些实施方式中,惰性气体被用作用于去除一些或所有反应物的净化气体。根据一或多个实施方式,净化气体被注入在沉积腔室的出口处以防止反应物从沉积腔室移动至传送腔室和/或另外的处理腔室。因此,惰性气体的流动在腔室的出口处形成气幕。
基板可在单基板沉积腔室中被处理,在所述单基板沉积腔室中在处理另一基板之前装载、处理和卸载单个基板。也可以类似于输送机系统的连续方式处理基板,其中多个基板被分别地装载至腔室的第一部分中,移动通过腔室并且从腔室的第二部分卸载。腔室的形状和相关联的输送机系统可形成直线路径或弯曲路径。另外,处理腔室可以是转盘,其中多个基板围绕中心轴移动并且在整个转盘路径中暴露于沉积、蚀刻、退火、清洁等工艺。
在处理期间,基板可被加热或冷却。所述加热或冷却可通过任何适当的手段实现,包括但不限于改变基板支撑件的温度和将加热或冷却的气体流动至基板表面。在一些实施方式中,基板支撑件包括加热器/冷却器,所述加热器/冷却器可被控制以传导性地改变基板温度。在一或多个实施方式中,所使用的气体(反应气体或惰性气体)被加热或冷却以局部改变基板温度。在一些实施方式中,加热器/冷却器相邻于基板表面位于腔室之内以对流地改变基板温度。
基板还可在处理期间静止或旋转。旋转基板可连续地或以不连续的步进旋转。例如,基板可在整个工艺过程中旋转,或者基板可在暴露于不同反应气体或净化气体之间少量旋转。在处理期间旋转基板(连续地或步进地)可通过最小化例如气流几何形状的局部可变性的影响来帮助产生更均匀的沉积或蚀刻。
贯穿本说明书对“一个实施方式”、“某些实施方式”、“一或多个实施方式”或“一实施方式”的指称是指关于所述实施方式描述的特定特征、结构、材料或特性包括在本发明的至少一个实施方式中。因此,在贯穿本说明书各处出现的诸如“在一或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在一实施方式中”的短语不必然指代本发明的相同实施方式。此外,特定特征、结构、材料或特性可在一或多个实施方式中以任何适当方式组合。
尽管已参考特定实施方式描述本发明,但是应将理解,这些实施方式仅说明本发明的原理和应用。将对本领域技术人员显而易见的是,在不背离本发明的精神和范围的情况下,可对本发明的方法和设备进行各种修改和变化。因此,本发明旨在包括处于所附权利要求书及其等同物的范围之内的修改和变化。

Claims (15)

1.一种方法,包含:
使用水作为氧化剂通过ALD在基板表面上形成金属氧化物膜;和
将所述金属氧化物膜暴露于去耦等离子体以降低所述金属氧化物膜的湿式蚀刻速率,所述去耦等离子体包含He、H2或O2的一或多种。
2.如权利要求1所述的方法,进一步包含顺序地且重复地形成所述膜且将所述膜暴露于所述去耦等离子体以沉积预定厚度的膜。
3.如权利要求1所述的方法,其中所述膜包含氧化铝。
4.如权利要求3所述的方法,其中形成所述氧化铝膜包含将所述基板表面顺序地暴露于铝前驱物和氧反应物。
5.如权利要求4所述的方法,其中所述铝前驱物包含三甲基铝并且所述氧反应物包含水。
6.如权利要求1所述的方法,其中所述去耦等离子体是定向等离子体。
7.如权利要求6所述的方法,其中所述定向等离子体是在具有槽的等离子体组件中形成的远程等离子体,所述槽具有边缘且所述等离子体流动通过所述槽,所述等离子体在相邻于所述槽的所述边缘处具有高离子能量和浓度。
8.如权利要求1所述的方法,其中所述去耦等离子体基本上由氦或氦和氧的组合组成。
9.如权利要求1所述的方法,其中所述金属氧化物膜在室温下在1100∶1的水∶HF中,或在70℃下在1∶1∶50的过氧化氢:氢氧化铵:水的混合物中具有低于
Figure FDA0002379697460000011
的湿式蚀刻速率。
10.一种方法,包含:
通过顺序暴露于铝前驱物和水在基板表面上形成氧化铝膜;和
将所述氧化铝膜暴露于包含氧和氦的混合物的去耦等离子体,所述去耦等离子体具有源功率并且无偏压。
11.如权利要求10所述的方法,其中所述铝前驱物包含三甲基铝并且所述去耦等离子体基本上由氦和氧组成。
12.如权利要求11所述的方法,其中所述氧化铝膜在室温下在1100∶1的水∶HF中具有低于
Figure FDA0002379697460000012
的湿式蚀刻速率。
13.一种方法,包含:
通过顺序暴露于铝前驱物和水在基板表面上形成氧化铝膜;和
将所述氧化铝膜暴露于基本上由氦组成的去耦等离子体,所述去耦等离子体具有源功率和偏压功率。
14.如权利要求13所述的方法,其中所述去耦等离子体是在具有槽的等离子体组件中形成的远程等离子体,所述槽具有边缘且所述等离子体流动通过所述槽,所述等离子体在相邻于所述槽的所述边缘处具有高离子能量和浓度。
15.如权利要求14所述的方法,其中所述金属氧化物膜在室温下在1100∶1的水∶HF中具有低于
Figure FDA0002379697460000021
的湿式蚀刻速率,或在70℃下在1∶1∶50的过氧化氢∶氢氧化铵∶水的混合物中具有小于约
Figure FDA0002379697460000022
的湿式蚀刻速率。
CN201880050680.4A 2017-08-01 2018-08-01 金属氧化物后处理方法 Pending CN110998788A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762540020P 2017-08-01 2017-08-01
US62/540,020 2017-08-01
PCT/US2018/044771 WO2019028120A1 (en) 2017-08-01 2018-08-01 METHODS OF POST-PROCESSING METAL OXIDE

Publications (1)

Publication Number Publication Date
CN110998788A true CN110998788A (zh) 2020-04-10

Family

ID=65234105

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880050680.4A Pending CN110998788A (zh) 2017-08-01 2018-08-01 金属氧化物后处理方法

Country Status (5)

Country Link
US (1) US20200165725A1 (zh)
JP (1) JP6951548B2 (zh)
KR (1) KR102307233B1 (zh)
CN (1) CN110998788A (zh)
WO (1) WO2019028120A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230215727A1 (en) * 2022-01-05 2023-07-06 Polar Semiconductor, Llc Forming passivation stack having etch stop layer
US20240167148A1 (en) * 2022-11-18 2024-05-23 Applied Materials, Inc. Methods of removing metal oxide using cleaning plasma

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4424102A (en) * 1982-03-31 1984-01-03 International Business Machines Corporation Reactor for reactive ion etching and etching method
US5290382A (en) * 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
EP0742579A2 (en) * 1995-05-11 1996-11-13 Applied Materials, Inc. A method and apparatus for concentrating plasma on a substrate surface during processing
KR20030004681A (ko) * 2001-07-06 2003-01-15 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
US20030134513A1 (en) * 2002-01-16 2003-07-17 Li Li Methods of forming integrated circuitry, semiconductor processing methods, and processing method of forming MRAM circuitry
US20030211748A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
US20050017319A1 (en) * 2001-09-12 2005-01-27 Kenzo Manabe Semiconductor device and production method therefor
US20050064719A1 (en) * 2003-09-19 2005-03-24 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
JP2006019442A (ja) * 2004-06-30 2006-01-19 Fujitsu Ltd ドライエッチング装置および半導体装置の製造方法
US7033957B1 (en) * 2003-02-05 2006-04-25 Fasl, Llc ONO fabrication process for increasing oxygen content at bottom oxide-substrate interface in flash memory devices
JP2006173301A (ja) * 2004-12-15 2006-06-29 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 非シリコン系膜の成膜装置のクリーニング方法
US20060162863A1 (en) * 2005-01-21 2006-07-27 Hyung-Joon Kim Semiconductor plasma-processing apparatus and method
CN101162688A (zh) * 2006-10-13 2008-04-16 东京毅力科创株式会社 等离子体处理装置及其运转处理方法和电子装置制造方法
CN101379213A (zh) * 2006-02-10 2009-03-04 应用材料股份有限公司 面对等离子的壁的水蒸气钝化
US20110312157A1 (en) * 2010-06-22 2011-12-22 Wei-Sheng Lei Wafer dicing using femtosecond-based laser and plasma etch
US20130052827A1 (en) * 2011-08-26 2013-02-28 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US20130093064A1 (en) * 2011-10-12 2013-04-18 Chien-Liang Lin Semiconductor structure and fabrication method thereof
JP2014022653A (ja) * 2012-07-20 2014-02-03 Tokyo Electron Ltd 成膜装置及び成膜方法
CN103703545A (zh) * 2011-06-15 2014-04-02 应用材料公司 使用基板载具的混合激光与等离子体蚀刻晶圆切割
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9299583B1 (en) * 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010036268A (ko) * 1999-10-07 2001-05-07 윤종용 원자층 증착법을 이용한 금속 산화막 형성방법
JP4140767B2 (ja) * 2003-03-24 2008-08-27 株式会社堀場製作所 半導体装置における絶縁膜の形成方法
KR100560963B1 (ko) * 2003-09-30 2006-03-15 삼성전자주식회사 원자층 증착 공정을 이용한 물질 형성 방법, 이를 이용한박막 형성 방법 및 캐패시터의 제조 방법
KR20050062132A (ko) * 2003-12-19 2005-06-23 주식회사 하이닉스반도체 혼합유전막을 구비한 캐패시터 형성방법
US7244313B1 (en) * 2006-03-24 2007-07-17 Applied Materials, Inc. Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
KR100746629B1 (ko) * 2006-06-30 2007-08-08 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 형성방법
KR101009338B1 (ko) * 2009-05-22 2011-01-19 주식회사 하이닉스반도체 반도체 장치 제조방법
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
JP6671166B2 (ja) * 2015-12-15 2020-03-25 東京エレクトロン株式会社 絶縁膜積層体の製造方法

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4424102A (en) * 1982-03-31 1984-01-03 International Business Machines Corporation Reactor for reactive ion etching and etching method
US5290382A (en) * 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
EP0742579A2 (en) * 1995-05-11 1996-11-13 Applied Materials, Inc. A method and apparatus for concentrating plasma on a substrate surface during processing
KR20030004681A (ko) * 2001-07-06 2003-01-15 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
US20050017319A1 (en) * 2001-09-12 2005-01-27 Kenzo Manabe Semiconductor device and production method therefor
US20030134513A1 (en) * 2002-01-16 2003-07-17 Li Li Methods of forming integrated circuitry, semiconductor processing methods, and processing method of forming MRAM circuitry
US20030211748A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
US7033957B1 (en) * 2003-02-05 2006-04-25 Fasl, Llc ONO fabrication process for increasing oxygen content at bottom oxide-substrate interface in flash memory devices
US20050064719A1 (en) * 2003-09-19 2005-03-24 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
JP2006019442A (ja) * 2004-06-30 2006-01-19 Fujitsu Ltd ドライエッチング装置および半導体装置の製造方法
JP2006173301A (ja) * 2004-12-15 2006-06-29 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 非シリコン系膜の成膜装置のクリーニング方法
US20060162863A1 (en) * 2005-01-21 2006-07-27 Hyung-Joon Kim Semiconductor plasma-processing apparatus and method
CN101379213A (zh) * 2006-02-10 2009-03-04 应用材料股份有限公司 面对等离子的壁的水蒸气钝化
CN101162688A (zh) * 2006-10-13 2008-04-16 东京毅力科创株式会社 等离子体处理装置及其运转处理方法和电子装置制造方法
US20110312157A1 (en) * 2010-06-22 2011-12-22 Wei-Sheng Lei Wafer dicing using femtosecond-based laser and plasma etch
CN103703545A (zh) * 2011-06-15 2014-04-02 应用材料公司 使用基板载具的混合激光与等离子体蚀刻晶圆切割
US20130052827A1 (en) * 2011-08-26 2013-02-28 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US20130093064A1 (en) * 2011-10-12 2013-04-18 Chien-Liang Lin Semiconductor structure and fabrication method thereof
JP2014022653A (ja) * 2012-07-20 2014-02-03 Tokyo Electron Ltd 成膜装置及び成膜方法
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US9299583B1 (en) * 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch

Also Published As

Publication number Publication date
WO2019028120A1 (en) 2019-02-07
US20200165725A1 (en) 2020-05-28
KR102307233B1 (ko) 2021-09-30
JP6951548B2 (ja) 2021-10-20
KR20200024352A (ko) 2020-03-06
JP2020530198A (ja) 2020-10-15

Similar Documents

Publication Publication Date Title
TWI722132B (zh) 用於間隔墊應用之氮化矽薄膜的選擇性沉積
CN105917445B (zh) 具有空间原子层沉积的自对准式双图案化
US20210043448A1 (en) Method and Apparatus for Selective Deposition of Dielectric Films
US9443716B2 (en) Precise critical dimension control using bilayer ALD
US11702742B2 (en) Methods of forming nucleation layers with halogenated silanes
TWI790320B (zh) 釕的選擇性原子層沉積
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
US10957532B2 (en) Method and apparatus for deposition of low-k films
US20180155834A1 (en) Integrated Atomic Layer Deposition Tool
KR102307233B1 (ko) 금속 산화물 후처리를 위한 방법들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination