CN101379213A - 面对等离子的壁的水蒸气钝化 - Google Patents

面对等离子的壁的水蒸气钝化 Download PDF

Info

Publication number
CN101379213A
CN101379213A CNA2007800050314A CN200780005031A CN101379213A CN 101379213 A CN101379213 A CN 101379213A CN A2007800050314 A CNA2007800050314 A CN A2007800050314A CN 200780005031 A CN200780005031 A CN 200780005031A CN 101379213 A CN101379213 A CN 101379213A
Authority
CN
China
Prior art keywords
plasma
chamber
plasma source
hydrogen
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800050314A
Other languages
English (en)
Other versions
CN101379213B (zh
Inventor
X·傅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101379213A publication Critical patent/CN101379213A/zh
Application granted granted Critical
Publication of CN101379213B publication Critical patent/CN101379213B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本发明揭示一种从一远程等离子体源(60)提供氢自由基的腔室钝化方法,其对于在涂覆一阻挡层至一通孔内之前以氢等离子体来清洗低k介电质是特别有用的。对于每一晶圆,腔室是以水蒸气(86)(或其它甚至更能被化学吸收在面对等离子体的壁上的气体)来钝化,其中该水蒸气在氢等离子体引发之前被通过远程等离子体源。水蒸气被吸收在壁(78,79)上,例如远程等离子体源的氧化铝与石英部件,且形成一保护性单层,保护性单层能够持续足够长久以在氢等离子体产生持续时间保护壁。藉此,面对等离子体的壁(尤其是介电质,例如氧化铝)可以被保护免于蚀刻。

Description

面对等离子的壁的水蒸气钝化
发明领域
本发明一般涉及在制造集成电路的过程中的等离子体清洗。特别地,本发明涉及在图案化蚀刻介电层与沉积之间执行的等离子体清洗。
背景技术
高级集成电路,诸如对45nm节点构想出来的高级集成电路,将需要使用超低k介电(电绝缘)材料以用于连接两层配线之间的层间(interlevel)介电层。介电常数低于3.9(二氧化硅的介电常数值)的低k材料已经进入商业量产。然而,未来将会需要更低的介电常数,例如低于2.5。此材料的一示例为Black DiamondTMII(BDII)介电质,其可由美国加州圣大克劳拉市Applied Materials公司所购得。Li在美国专利申请案No.2003/0194495中描述到,此介电材料被表征为碳掺杂的氧化硅(也被称为碳氧化硅),其具有高于10at%的碳百分比。改良物是包括有BDIIx介电质(其被UV硬化且具有30%的孔隙度),以及用电子来硬化的DBIIebeam(DBII电子束)介电质。其它含碳的低k介电质是已知的,包括有
Figure A200780005031D0005115102QIETU
Figure A200780005031D0005115114QIETU
(苯环丁烯)介电材料,其可由Dow Chemical公司获得。许多这些材料被表征为有机或聚合介电质。
用来形成层间互连(interlevel interconnect)的一原型结构在图1的截面图中示出。下介电层10包括形成于其表面的导电部件12。用于高级层间连接的导电部件12典型地由铜构成,但是类似的几何结构应用于接触硅基板的活性半导体区域。超低k介电材料的上介电层14被沉积在下介电层10与导电部件上方。孔16被光刻限定,且被蚀刻穿过上介电层14至导电部件12。对于用在铜金属化的典型双镶嵌互连,孔16由形成了至导电部件12的垂直互连的窄的下方通孔与形成了集成电路不同部分之间的水平互连的宽的上方沟槽所构成。对于双镶嵌结构,导电部件12可以是形成在下介电层10中的被填满铜的沟槽的一部分。在该孔已经被蚀刻之后,薄的基本上共形的阻挡层(例如Ta/TaN)通常藉由磁控溅镀镀覆至孔16的侧壁上,并镀覆至上介电层14的场区域上。然后薄的基本共形的铜晶种层被沉积在阻挡层上(通常也是藉由磁控溅镀)。之后,铜被电镀至16内以及场区域上方。最后,化学机械研磨(CMP)被用来去除孔16外面的铜。
光刻蚀刻步骤(甚至在光阻灰化之后)常常将碳或氟碳聚合层18留在孔16的侧壁上,这被有利地用来实现高各向异性蚀刻,但是在蚀刻停止后会残留。也会残余一蚀刻残余物20于沟槽底部,其是为蚀刻化学的碳、硅与氟副产物的组合。再者,导电部件12中暴露的铜可能已经氧化成氧化铜。又,灰化残余物22倾向于形成在孔16的唇部。若孔16底部的蚀刻残余物20与氧化铜没有在金属化沉积之前阻挡层沉积以先被去除,蚀刻残余物20与氧化铜会增加接触电阻。聚合覆层18与灰化残余物22会干扰阻挡层至介电层14的键结,因此阻挡层与铜通孔结构在制造或操作持续时间会分层(delaminate),造成了显著的可靠性问题。因而,在阻挡层沉积以先去除残余物18、20、22与氧化铜是有需要的。
就传统的氧化硅介电质而言,藉由在蚀刻与沉积步骤之间溅镀蚀刻经图案化晶圆以去除残余物来干式清洗晶圆是普遍的。这样的溅镀蚀刻典型地包括有高能量离子,高能量离子不会较大地影响相对较硬的氧化硅介电质。然而,低k介电层倾向于相对较软。所以,溅镀蚀刻倾向于有害地蚀刻且劣化低k介电层。较软的化学蚀刻可以利用被产生在清洗腔室内且邻近于晶圆的氧等离子体(即原位等离子体)而被执行。对于早期型式的具有约3.7介电常数k且非多孔性的低k介电质,此清洗过程已经被证明令人满意。然而,对于大部分近期的具有约2.5介电常数k与大于10%孔隙度的超低k膜,原位氧等离子体已经被证明无法令人满意。所被相信的是,氧等离子体包括高比例的氧原子,这些氧原子被吸引至负自偏压,其中该负自偏压形成在暴露于等离子体的漂浮体上。接着,氧离子撞击超低k膜,且具有足够能量来破坏超低k膜。因而,此技术已经被开发成从远程等离子体源(remote plasma source,RPS)产生的氧等离子体来清洗经图案化晶圆,如同Wood等人于美国专利公开2004/0219789中所揭示的。远程产生的等离子体是强调电中性自由基,虽然离子在等离子体抵达处理空间时会残留;而原位等离子体是强调被产生在处理空间中或靠近处理空间的带电荷离子。远程产生的氧等离子体会发射许多中性且低能量氧自由基至晶圆,其会氧化且与不同的残余物发生化学反应以将其去除。
然而,对于超低k介电材料,激化的氧已经被证明无法令人满意。介电常数的降低常常藉由介电材料中高孔隙度来获得。BDII介电层可以具有超过10%(甚至高于30%)的孔隙度。因此,这些介电层不仅非常柔软,这些介电层对于一氧化干式清洗也是极易反应的。此外,被并入介电质中的氧倾向于产生比硅与碳键更极性的键,而增加了介电常数。故而,基于还氧化学的干式清洗已经被开发出,其使用例如远程产生的NH3(参阅授予Kropewnicki等人的美国专利US6,440,864)或相对较高H2压力的等离子体。氢方式已经普及,但是结果依然无法完全令人满意。甚至氢等离子体中非常少量的水蒸气会较大地降低多孔性低k膜的厌水性质,且因而倾向于增加了介电常数。甚至纯氢等离子体会倾向于劣化低k材料。再者,合理的蚀刻速率已经藉由增加腔室压力被达到,但是电源能力必须依循所增加的压力。此外,在更高的氢压力下,来自远程等离子体源而被离子化且泄漏入清洗腔室的氢的比例会增加。氢离子倾向于被吸引至晶圆,且我们相信这些氢离子会破坏多孔性低k材料。
本案申请人与其它人在美国专利申请11/334,803(其在2006年1月17日提交且在此被并入本文以作为参考)描述一种利用远程产生的纯氢气或氢与氦气的混合气体的等离子体以预清洗多孔性低k介电质的过程。等离子体被产生于远程等离子体源中,且离子从源输出被过滤使得仅有氢自由基可以抵达晶圆。使用远程产生的自由基以进行腔室清洗及这样的远程等离子体源的示例是已经被Chen等人揭示于“Advances in remotePlasma Sources For Cleaning 300mm and Flat Panel CVD Systems,”Semiconductor Magazine,2003年8月,第6页之中。清洗效能可以藉由于低的氢分压下操作而被改善,例如对于纯氢是低于150毫托且较佳为30毫托。虽然清洗效能已经被观察到十分良好,昂贵的远程等离子体源在其失效前却展现短寿命。所被相信的是,氢等离子体会攻击且蚀刻等离子体源的铝壁。阳极化的铝壁,如同化学类似的氧化铝壁一样,被观察到在氢等离子体中会劣化。以石英壁来取代铝壁可以某种程度地增加寿命。然而,石英内衬的等离子体源甚至更昂贵,且石英也已经被观察到在氢等离子体中会劣化。类似的蚀刻效应已经被观察到存在于等离子体源与离子过滤器之间的氧化铝内衬中。
发明内容
等离子体处理腔室是在处理气体(例如蚀刻或清洗气体,特别是例如氢的还原气体)的等离子体引发之前被钝化以未激化水蒸气。较佳地,钝化对每一次基板处理循环执行。
本发明对于具有远程等离子体源而具有介电质壁的等离子体预清洗腔室是特别有用的,氢或氢与氦混合物在该远程等离子体源中远程地被产生成等离子体,离子从远程等离子体源被过滤以提供氢自由基的激化气体。这样与水蒸气钝化整合在一起的清洗过程可以有效地清洗多孔性与软的低k介电质。
质流控制器下游的约1托压力的水蒸气可以在室温下自动地从液态水被产生,且被真空抽吸加压至20托或更低。同样的水蒸气能够被用以在更低的压力下(例如小于1毫托的分压)供应水蒸气。
附图简述
图1为一层间互连结构或通孔的截面图。
图2为一清洗腔室的截面图,其中该清洗腔室使用远程等离子体源且可应用于本发明。
图3为一远程等离子体源的截面图。
图4为水蒸气供应系统的详细配管图。
图5为水蒸气钝化与远程等离子体源清洗的流程图。
图6为时序图,其显示出真空腔室中水蒸气分压在停止注入水蒸气之后会减低。
图7为柱形图,其示出利用水蒸气钝化来改善清洗效能。
优选实施方式的详细描述
美国专利申请11/334,803中描述的氢预清洗有利地配送清洗等离子体的水成分,藉以避免多孔性低k介电质的介电常数的劣化。然而,所相信的是,包括水蒸气的传统等离子体是对于氧化铝与其它介电质壁提供一些保护。此专利申请案的等离子体预清洗过程能够藉由尚未被激化成等离子体的水蒸气来钝化远程等离子体源与其它面对等离子体的壁而被改善,较佳地是在引发含氢但不含水的等离子体之前。
图2的横截面示图绘示的远程等离子体清洗腔室30包括真空处理腔室32,真空处理腔室32包括盖子34,盖子34可以绕着枢纽被开启且藉由真空泵送系统36被引动。基座38包括电阻式加热器46,电阻式加热器46选择性地被供有来自加热器电源48的电流以将晶圆40的温度升高至所希望的蚀刻或预清洗温度。
用于预清洗的过程气体为纯氢气(H2),或氢与氦(He)的组合物,其中该纯氢气是选择性地从氢气源50经由质流控制器52被供应,该组合物选择性地从氦气源54经由另一质流控制器56被供应。可以取代成所希望的氦比例的单一H2/He气体供应源。安装在盖子34上的远程等离子体源(remote plasma source,RPS)60接收来自供应线62的过程气体,且将其激化成等离子体。远程等离子体源60可以为各式各样的型式。图3示意性绘示的示例性RF感应式远程等离子体源64包括介电质管66,感应线圈68包覆围绕此介电质管66。RF电源70向线圈68供应电力,线圈68感应地将RF能量耦合至管64的钻孔内,以将在管66内流动的气体激化成为等离子体。在本发明中,氢气H2被激化成等离子体,该等离子体包括有带电荷离子H+与中性氢自由基H。高级的远程等离子体源倾向于更复杂(例如依赖环面激化管),且其它型式的等离子体发生器是可能的。经激化的气体经由供应管72被输送至位于喷洒头42后方的气体岐管74。
请再参照图2,远程等离子体源位于真空腔室32的上游。离子过滤器沿着远程等离子体源60与岐管74之间的路径被设置,以去除任何氢离子H+,使得仅有中性氢自由基H抵达晶圆40。离子过滤器包括两个磁铁76、77,其被设置成跨越供应管72彼此相对,以投射出跨越管内部的一磁场B而偏斜或捕获带电荷的氢离子。可移除的介电质管衬里78可被设置在供应管72内,且介电质腔室衬里79可以覆盖住岐管74的壁,以保护这些壁且减少氢自由基的再结合。在一实施例中,管衬里78是由氧化铝(Al2O3)所制成,且岐管衬里79与喷洒头72是由石英(SiO2)所制成的。因此,经激化的气体可以经由喷洒头41均匀地被输送至正被清洗的晶圆40。
在本发明的此实施例中,内含液态水池82的真空密封的瓶件80被安装在腔室盖子34上,并且质流控制器84测量来自瓶件80而进入远程等离子体源60的水蒸气。水的蒸气压在室温约20托,其远高于远程等离子体源60所操作的真空水平。因而,一旦瓶件80已经被往回抽吸,具有约20托压力的水蒸气就存在于瓶件80中液态水池82上方的头空间86。瓶件80直接地被安装在腔室盖子34上以减少配管长度,其中水蒸气可能会冷凝在配管的壁上;而气体源50、54与其质流控制器52、56典型地被安装在远程气体面板上而具有至腔室30与其远程等离子体源60的长配管88。液态水的单次注入已经被观察到能够持续超过100000次晶圆循环,其与下述观察一致:持续8秒的5sccm水蒸气的总量为0.66cc大气压水蒸气且因而约0.54×10-3cc液态水的示例性程序。即使如此,水位感应器有利地被包括在水瓶件80中。
水蒸气供应系统的更完整的实施例被绘示在第4图中。第一隔离阀90将质流控制器84从水瓶件80分离开,且第二隔离阀92将质流控制器84从连接至远程等离子体源60的供应线62分离开。此外,环绕着质流控制器84的旁流线94包括有第三隔离阀96。这些隔离阀对于往回抽吸水瓶件80(可以在腔室维护时将水瓶件80隔离开)与去除从管冷凝的水是有用的。
如图2所示,接收可读媒体102的计算机化控制器100控制泵送系统36、加热器电源48、远程等离子体源60、气体质流控制器52、56、84。可读媒体102可以为一磁盘或光盘(例如软盘片或CD片),可读媒体102包含有过程程序,控制器100根据此过程程序能控制腔室30中钝化与预清洗操作以及晶圆传送出入腔室30和隔离阀90、92、96操作的顺序。
根据本发明的一方面,少量水在等离子体引发之前被脉冲地注入远程等离子体源60与因而腔室30内。水蒸气在所有的壁上形成一薄的水覆层。在水蒸气注入停止且腔室被抽吸至次托范围的操作压力之后,水蒸气大量地蒸发。然而,化学吸收,尤其是化学吸收至金属或金属氧化物(例如氧化铝或石英),是在壁上形成一非常薄的水层。在“Modeling the pump-downof a reversibly adsorbed phase.I.Monolayer and submonolayer initialcoverage,”Journal of Vacuum Science and Technology A,vol.13(2),1995,第467-475页中,Redhead公开了在小于1毫托水蒸气压时薄膜由单层(monolayer)的水来形成。所相信的是,O-H键会形成在金属氧化物或金属的原生氧化物(native oxide)上。在“The chemistry of water onalumina surfaces:Reaction dynamics from first principles,”Science,vol.282 October 9,1998,265-268页中,Haas等人揭示了水分子会在氧化铝表面形成O-H键。可相信的是,O-H键可以避免离子氢从面对等离子体的壁去除,例如氧或金属(例如氧化铝中的铝)。最后,真空抽吸脱附且去除水单层。然而,我们的观察为,若水蒸气钝化在每一晶圆循环被执行,则保护在整个过程的等离子体阶段中延续。
若等离子体包含水成分(如同现有技术所实施的),同样的保护机制适用。然而,水等离子体会有害地影响低k介电质。虽然利用水蒸气来钝化会在晶圆上沉积一些水,预清洗通常被执行在刚从具有20托水蒸气的清洗室(clean room)环境被插入的晶圆,因而一些水涂层是不可避免的且应该与钝化持续时间典型地被脉冲注入腔室内的1托水蒸气比较。再者,标准的预清洗过程将晶圆加热至超过300℃。若加热仅在水蒸气的脉冲注入之后开始,且若等离子体引发在加热完成之后被延迟数秒,极少量的水在等离子体或氢自由基的存在下会残留在晶圆上。
图3所绘示的流程图显示在每一次晶圆循环所执行的等离子体清洗过程。虽然本发明可以在多晶圆批次腔室内被实施,较佳的清洗过程在单晶圆腔室(如图2所绘示者)内执行。在抽吸加压步骤110,腔室压力稍微不受控制,但是大致上低于6.5托。在部分步骤110的持续时间,分离预清洗腔室30与中央转送腔室的狭缝阀(slit valve)被开启,以允许机械手臂叶片移除腔室内一已经被预清洗的晶圆,且将其更换为一未被处理的晶圆。较佳地在狭缝阀被关闭之后,大量的氢与氦(例如各为2000sccm)被流入腔室以净化(purge)腔室。在唧筒加压步骤110结束,较佳地在狭缝阀被关闭之后,水蒸气钝化则被执行。例如,5sccm的水蒸气被流入腔室持续8秒。必须注意的是,伴随着大量的氢与氦,1托的腔室压力会产生约1毫托的水蒸气分压,因此小于10毫托的水蒸气分压是明显有效的。远程等离子体源没有被开启,因而净化气体或水蒸气没有被激化成等离子体,且其流动通过远程等离子体源进入腔室成为未经激化气体。
在加热步骤112,停置在基座上的未经处理的晶圆在操作持续时间被加热至维持在基座中的温度,例如250℃至350℃。加热器电源供应会被开启,以将基座加热至预定温度,例如350℃。在加热步骤112的持续时间,水蒸气供应被中断,且之后的过程循环不再继续供应水蒸气。氢流动持续,但是氦供应停止。腔室被维持在相对较高的6.5托压力,以促进加热与腔室的热平衡。在氩补充步骤114以预备等离子体引发时,大量的氩(例如1000sccm)被供应至腔室内,且持续供应大量氢。腔室压力维持在高的6.5托压力。在抽吸降压步骤116,腔室压力被减低至1托以预备等离子体引发。相同量的氩被供应,且少量的氢与选择性的氦被供应(若后者被用在清洗)。在引发步骤118,至远程等离子体源的RF供应最后被开启以引发气体(现在大部分为氩)成为等离子体。在过渡步骤120,腔室被抽吸降压至用于等离子体预清洗的较佳腔室压力,清洗量的氢与可能的氦被供应,且氩供应被部分地减少。
在等离子体引发之时,仅有单层的水会被预期涂覆于壁与晶圆上。如图6所示(其绘示水蒸气注入停止之后腔室内水蒸气分压),过量的水蒸气迅速地被唧筒抽出,且水蒸气分压被减低至小于3×10-6托。非常低的水分压可以确保最小的与蚀刻化学的干扰,其中该蚀刻化学涉及从软的低k介电质上清洗光阻与其它残余物。然而,残留在腔室壁上的暂时性的水单层似乎足够以保护面对等离子体的壁对抗氢等离子体。
参照图5,在等离子体蚀刻步骤122,晶圆被等离子体预清洗,其中该等离子体是依赖氢与选择性包含氦的还原化学。不需要氩以维持等离子体。已经发展出两个最佳化的预清洗程序。第一个程序包括在被供应仅400sccm氢的60毫托腔室环境内的30秒蚀刻。第二个程序包括在被供应400sccm氢与1200sccm氦的350毫托腔室环境内的30秒蚀刻。可以发展出其它蚀刻参数。然而,当蚀刻等离子体为还原化学(尤其是氢自由基化学)且不包含大量水或氧化剂(例如氧)时,水蒸气钝化似乎特别有用。等离子体蚀刻步骤122完成晶圆的预清洗,且远程等离子体源在步骤122结束被关闭。然后,操作返回到步骤110,以在另一晶圆上执行相同的过程。
明显的是,钝化的许多效果可以藉由在加热步骤112或可能氩补充步骤114或抽吸步骤116中而在引发步骤118之前将未激化的水蒸气供应至远程等离子体源被获得。已经观察到的是,只要H2O已经形成在抽吸加压步骤110中,在后续的步骤112、114、116中持续流动不会有明显的效果。
水蒸气钝化已经被观察到可以增加预清洗步骤的效能。如图7的柱形图所示,光阻蚀刻速率已经被观察到可以从没有钝化的约120nm/min增加至在引发氢等离子体之前具有水蒸气钝化的约200nm/min。再者,清洗的选择性已经被观察到从约30增加至高于90,其中选择性被定义为光阻蚀刻速率与正被清洗超低k介电质的蚀刻速率的比值。
钝化也已经被观察到可以增长远程等离子体源的寿命。在腔室已经被处理不超过1800片晶圆而远程等离子体源的总操作时间900分钟之后,若不藉由钝化,清洗过程会完全地偏移且光阻蚀刻速率会被减低至低于其原始值的30%。藉由水蒸气过程,清洗过程显示出对于所测试晶圆的数目没有劣化,更详细地说为10000片晶圆(其对应于5000分钟的远程等离子体源操作时间)。
在处理晶圆的另一相继试验中,不藉由任何钝化,微粒添加物的数目已经被观察到在0.12μm每片晶圆上成长至200添加物。之后,此试验被持续于相同的腔室与远程等离子体源中。添加物立即地下降至低于30,且在20片额外的晶圆中持续地下降至低于10。
水蒸气有利地被用在本发明中,因为其已经被应用在类似的腔室且成本低与更换容易。然而,气体可以被用来取代水,气体在所有的壁表面上(尤其是氧化铝表面)具有更高的化学吸收。这样的气体的示例为CH4、CO与CO2,其可以从其自身的气体槽(例如被装在气体面板上)被供应。当这些气体被用在钝化时,这些气体不被激化成等离子体,而是以其未激化气体型式经由远程等离子体源被供应或被供应至腔室内。
本发明对于延长远程等离子体源的寿命是特别有用的。然而,其也会钝化输送管、喷洒头、与等离子体反应器的其它具有壁而暴露至等离子体或自由基的部件而不管是介电质还是金属材质。
虽然本发明已经以在预清洗气体引发之前远程等离子体源的钝化而被描述,本发明不被如此地限制。远程等离子体源可以被用在去除整个光阻的主要灰化步骤。此外,用于其它型式的等离子体蚀刻且更特别地使用还原化学的蚀刻及无论是使用远程或原位等离子体的腔室是可受益自本发明。
本发明不被受限于处理硅晶圆,而可以被用于处理其它类型的基板(例如玻璃与其它介电质面板)。
例如,氢原子(无论是中性自由基或带电荷离子)对于在不同类型基板(包括金属与非金属)上腐蚀性产物的化学还原是有用的。例如,历史与考古的人工制品的金属表面能够用一束氢原子来清洗。这些氢原子常常在类似于用在半导体工业的远程等离子体源的等离子体发生器中产生。类似的氢等离子体发生器在氢激光器中被用做为来源。迄今为止,设备是昂贵的,这部分地因自等离子体发生器于氢等离子体持续存在时的短暂寿命。藉由将气体氢交替地供应至被供电的等离子体发生器与将水蒸气供应至未被供电的等离子体发生器,以暂时性地钝化等离子体发生器与下游输送系统的面对等离子体的壁,本发明可以容易地被应用于这样的氢等离子体发生器。如同前述数据,钝化持续时间可以实质上小于等离子体产生持续时间,因此总清洗产能没有被不利地影响。等离子体发生器的输出束可以在清洗与钝化步骤两者持续时间被导向基板,但是若水蒸气被导引离开正被处理的基板,或氢等离子体的末期使用者,一些应用可以受益。
因此,本发明同时改善了清洗过程且增加了腔室部件与构件的寿命,而对于产能、复杂性与系统及其操作的成本具有极小冲击。

Claims (22)

1.一种用以处理一等离子体处理腔室内一基板的钝化方法,其包含下列步骤:
将非激化状态的钝化气体注入至所述处理腔室内,其中所述钝化气体至少与水蒸气一样可以被大量化学吸收在所述处理腔室的壁上;以及
然后在所述处理腔室内于处理气体的等离子体中处理所述基板。
2.如权利要求1所述的钝化方法,其特征在于,所述钝化气体为水蒸气。
3.如权利要求1所述的钝化方法,其特征在于,所述钝化气体选自由CH4、CO与CO2所构成的组。
4.如权利要求1至3中任一项所述的钝化方法,其特征在于,所述等离子体为一还原等离子体。
5.如权利要求1至3中任一项所述的钝化方法,其特征在于,所述处理气体包含氢。
6.如权利要求1至3中任一项所述的钝化方法,其特征在于,所述处理气体选自由(1)氢与(2)氢及氦所构成的组。
7.如权利要求6所述的钝化方法,其特征在于,所述处理腔室包括远程等离子体源,所述远程等离子体源具有连接至所述处理腔室的内部的输出管,且水蒸气与处理气体被注入所述远程等离子体源内,其中所述远程等离子体源实质上没有在注入步骤持续时间被启动,而是在处理步骤持续时间被启动以将处理气体激化成等离子体。
8.如权利要求6所述的钝化方法,其特征在于,所述处理腔室还包括设置在所述远程等离子体源与所述腔室之间的离子过滤器,所述钝化气体与所述处理气体流动通过所述离子过滤器。
9.如权利要求2所述的钝化方法,其特征在于,所述处理腔室包括远程等离子体源,所述远程等离子体源具有连接至所述处理腔室的内部的输出管,且水蒸气与处理气体被注入所述远程等离子体源内,且其中所述远程等离子体源实质上不是在注入步骤持续时间被启动,而是在处理步骤持续时间被启动以将处理气体激化成等离子体。
10.如权利要求1至第3中任一项所述的钝化方法,其特征在于,所述处理步骤清洗介电层。
11.如权利要求10所述的钝化方法,其特征在于,所述处理步骤是从所述介电层去除残余物。
12.如权利要求10所述的钝化方法,其特征在于,所述介电层为多孔性,且具有低于3.9的介电常数。
13.如权利要求1至第3中任一项所述的钝化方法,还包括在注入步骤结束之后与在等离子体被激化以从所述腔室去除水蒸气之前,抽吸所述处理腔室。
14.一种等离子体处理方法,其包括对于多个连续被处理基板的每一者所执行的下列步骤:
将基板插入至等离子体处理腔室内,所述等离子体处理腔室包括支撑基板的基座、位于所述基座对面的气体喷洒头、与远程等离子体源,其中所述远程等离子体源具有其输出连接至位于所述喷洒头后方的岐管的供应管;
使水蒸气通过所述远程等离子体源而不将水蒸气激化成有效的等离子体;
使还原处理气体通过所述远程等离子体源且将其激化成等离子体;以及
然后熄灭所述等离子体且从所述等离子体处理腔室移出基板。
15.如权利要求14所述的方法,其特征在于,所述还原处理气体包含氢且实质上不包含水蒸气或氧。
16.如权利要求14所述的方法,其特征在于,所述基板包括介电层,所述介电层具有被蚀刻穿透其间的孔。
17.如权利要求16所述的方法,其特征在于,所述介电层具有低于3.9的介电常数。
18.一种钝化与处理方法,其包括下列步骤:
将未激化的水蒸气注入至真空处理腔室内,所述真空处理腔室包含要处理的基板;
抽吸所述真空处理腔室,以从所述真空处理腔室去除大量水蒸气;以及
然后将处理气体激化成等离子体,以处理位于所述真空处理腔室内的所述基板。
19.如权利要求18所述的方法,其特征在于,所述基板包含介电层,所述介电层具有低于3.9的介电常数,且所述处理气体包含氢且实质上不包含水与氧,且从基板上游的等离子体中过滤氢离子。
20.如权利要求18或19所述的方法,其特征在于,所述水蒸气与所述处理气体被流入远程等离子体源内,其中所述远程等离子体源将其输出输送至所述等离子体处理腔室,其中所述远程等离子体源实质上没有在注入步骤持续时间被启动,而是在激化步骤持续时间被启动。
21.一种操作氢等离子体源的方法,其包括重复一系列下列步骤:
在等离子体发生器没有被启动时使水蒸气通过所述等离子体发生器的第一步骤;以及
在所述等离子体发生器被启动时使氢气通过所述等离子体发生器的第二步骤。
22.如权利要求21所述的方法,其特征在于,所述第一步骤的持续时间小于所述第二步骤的持续时间。
CN2007800050314A 2006-02-10 2007-01-30 对面向等离子的壁的水蒸气钝化 Expired - Fee Related CN101379213B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/351,676 US7695567B2 (en) 2006-02-10 2006-02-10 Water vapor passivation of a wall facing a plasma
US11/351,676 2006-02-10
PCT/US2007/002546 WO2007094961A2 (en) 2006-02-10 2007-01-30 Water vapor passivation of a wall facing a plasma

Publications (2)

Publication Number Publication Date
CN101379213A true CN101379213A (zh) 2009-03-04
CN101379213B CN101379213B (zh) 2013-03-20

Family

ID=38368893

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800050314A Expired - Fee Related CN101379213B (zh) 2006-02-10 2007-01-30 对面向等离子的壁的水蒸气钝化

Country Status (6)

Country Link
US (1) US7695567B2 (zh)
JP (1) JP5260318B2 (zh)
KR (1) KR101364440B1 (zh)
CN (1) CN101379213B (zh)
TW (1) TWI342241B (zh)
WO (1) WO2007094961A2 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102376636A (zh) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法
CN102388432A (zh) * 2009-04-10 2012-03-21 应用材料公司 处理排出液的方法与设备
CN102437012A (zh) * 2011-08-04 2012-05-02 上海华力微电子有限公司 一种改进的干法刻蚀腔体
CN102804338A (zh) * 2009-06-12 2012-11-28 诺发系统有限公司 界面表面的远程等离子处理
CN103545163A (zh) * 2012-07-10 2014-01-29 中芯国际集成电路制造(上海)有限公司 具有氟残留或氯残留的半导体结构的处理方法
CN103605267A (zh) * 2013-10-23 2014-02-26 上海华力微电子有限公司 远程射频等离子体源的隔离结构
TWI480919B (zh) * 2011-11-04 2015-04-11 Psk Inc A plasma supply unit and a substrate processing device including the same
CN110998788A (zh) * 2017-08-01 2020-04-10 应用材料公司 金属氧化物后处理方法
CN111101128A (zh) * 2018-10-26 2020-05-05 Asm Ip控股有限公司 用于预清洁和蚀刻装置的高温涂层及相关方法
CN111261554A (zh) * 2020-01-19 2020-06-09 长江存储科技有限责任公司 清洗装置及方法
US10916407B2 (en) 2014-07-21 2021-02-09 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
CN113422290A (zh) * 2021-08-24 2021-09-21 度亘激光技术(苏州)有限公司 半导体结构钝化方法及设备
CN113422290B (zh) * 2021-08-24 2024-05-14 度亘激光技术(苏州)有限公司 半导体结构钝化方法及设备

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101127294B1 (ko) 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7807579B2 (en) 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8193075B2 (en) * 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US20120171852A1 (en) * 2009-09-04 2012-07-05 Applied Materials, Inc Remote hydrogen plasma source of silicon containing film deposition
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
JP5827499B2 (ja) * 2010-06-11 2015-12-02 株式会社半導体エネルギー研究所 装置の表面処理方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013074093A (ja) * 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
WO2014014907A1 (en) * 2012-07-16 2014-01-23 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
CN103646916B (zh) * 2013-11-28 2016-03-23 上海华力微电子有限公司 改善hdp psg工艺的方法及金属沉积前的介电质层制造方法
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9472415B2 (en) * 2014-04-30 2016-10-18 International Business Machines Corporation Directional chemical oxide etch technique
US10269541B2 (en) 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US10039157B2 (en) * 2014-06-02 2018-07-31 Applied Materials, Inc. Workpiece processing chamber having a rotary microwave plasma source
KR102262107B1 (ko) * 2014-08-29 2021-06-10 세메스 주식회사 기판 처리 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
SG11201705969VA (en) * 2015-01-22 2017-08-30 Chia Sern Chan Non-thermal soft plasma cleaning
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102504290B1 (ko) 2015-12-04 2023-02-28 삼성전자 주식회사 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10431427B2 (en) 2017-05-26 2019-10-01 Applied Materials, Inc. Monopole antenna array source with phase shifted zones for semiconductor process equipment
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102414617B1 (ko) 2017-08-17 2022-07-01 삼성전자주식회사 기판 처리 장치 및 이의 세정 방법
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10354883B2 (en) * 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2019199922A1 (en) 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220267900A1 (en) * 2019-06-26 2022-08-25 Lam Research Corporation Chamber-accumulation extension via in-situ passivation
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05114582A (ja) * 1991-10-22 1993-05-07 Tokyo Electron Yamanashi Kk 真空処理装置
JP3417072B2 (ja) * 1994-08-15 2003-06-16 ソニー株式会社 半導体装置の製法
US5581156A (en) * 1995-07-31 1996-12-03 The United States Of America As Represented By The Secretary Of The Army HF sustained, DC discharge driven negative ion source with automatic control system
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6503840B2 (en) * 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US20020182385A1 (en) * 2001-05-29 2002-12-05 Rensselaer Polytechnic Institute Atomic layer passivation
US20030029837A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Dielectric etch plasma chamber utilizing a magnetic filter to optimize plasma characteristics
US20030183245A1 (en) * 2002-04-01 2003-10-02 Min-Shyan Sheu Surface silanization
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030194495A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
KR101127294B1 (ko) * 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
JP3816080B2 (ja) * 2004-02-20 2006-08-30 松下電器産業株式会社 プラズマ処理方法およびプラズマ処理装置
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4748581B2 (ja) * 2005-12-20 2011-08-17 株式会社アルバック 真空処理装置及び真空処理方法

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102388432A (zh) * 2009-04-10 2012-03-21 应用材料公司 处理排出液的方法与设备
CN102804338A (zh) * 2009-06-12 2012-11-28 诺发系统有限公司 界面表面的远程等离子处理
CN102376636A (zh) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法
CN102376636B (zh) * 2010-08-24 2014-04-02 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法
CN102437012A (zh) * 2011-08-04 2012-05-02 上海华力微电子有限公司 一种改进的干法刻蚀腔体
TWI480919B (zh) * 2011-11-04 2015-04-11 Psk Inc A plasma supply unit and a substrate processing device including the same
CN103545163A (zh) * 2012-07-10 2014-01-29 中芯国际集成电路制造(上海)有限公司 具有氟残留或氯残留的半导体结构的处理方法
CN103605267B (zh) * 2013-10-23 2017-04-12 上海华力微电子有限公司 远程射频等离子体源的隔离结构
CN103605267A (zh) * 2013-10-23 2014-02-26 上海华力微电子有限公司 远程射频等离子体源的隔离结构
US10916407B2 (en) 2014-07-21 2021-02-09 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
TWI724801B (zh) * 2014-07-21 2021-04-11 美商應用材料股份有限公司 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法
CN110998788A (zh) * 2017-08-01 2020-04-10 应用材料公司 金属氧化物后处理方法
CN111101128A (zh) * 2018-10-26 2020-05-05 Asm Ip控股有限公司 用于预清洁和蚀刻装置的高温涂层及相关方法
CN111261554A (zh) * 2020-01-19 2020-06-09 长江存储科技有限责任公司 清洗装置及方法
CN113422290A (zh) * 2021-08-24 2021-09-21 度亘激光技术(苏州)有限公司 半导体结构钝化方法及设备
CN113422290B (zh) * 2021-08-24 2024-05-14 度亘激光技术(苏州)有限公司 半导体结构钝化方法及设备

Also Published As

Publication number Publication date
JP5260318B2 (ja) 2013-08-14
US20070190266A1 (en) 2007-08-16
US7695567B2 (en) 2010-04-13
KR20080100220A (ko) 2008-11-14
CN101379213B (zh) 2013-03-20
TW200744765A (en) 2007-12-16
KR101364440B1 (ko) 2014-02-17
JP2009526399A (ja) 2009-07-16
WO2007094961A2 (en) 2007-08-23
WO2007094961A3 (en) 2008-01-17
TWI342241B (en) 2011-05-21

Similar Documents

Publication Publication Date Title
CN101379213B (zh) 对面向等离子的壁的水蒸气钝化
KR100770916B1 (ko) 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치
TWI758744B (zh) 用於增強基材製品及設備之性質與表現的塗層
CN101227984B (zh) 低氢压力下的远程等离子预清洁
US10465294B2 (en) Oxide and metal removal
US9659791B2 (en) Metal removal with reduced surface roughness
US20190311909A1 (en) Gas-phase selective etching systems and methods
TWI539025B (zh) 用於短生命週期物種之具有內建電漿源的製程腔室蓋設計
US8980763B2 (en) Dry-etch for selective tungsten removal
US5952060A (en) Use of carbon-based films in extending the lifetime of substrate processing system components
US20150357205A1 (en) Selective titanium nitride removal
US20080216958A1 (en) Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
JP2001085418A (ja) 処理チャンバのための遠隔式プラズマクリーニング方法
CN101295145A (zh) 用水蒸汽和稀释气体增强的氢灰化
TW201419401A (zh) 使用多個流體途徑的自由基化學調製及控制
JP2007535119A (ja) 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置
KR100868837B1 (ko) 성막 방법 및 기억 매체
CN100540733C (zh) 用于沉积具有可调节的性质的材料的方法和装置
US6564810B1 (en) Cleaning of semiconductor processing chambers
KR102601706B1 (ko) 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착
TW200306635A (en) Gas introduction apparatus, producing method of the same, ashing apparatus and operating method of the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: California, USA

Applicant after: APPLIED MATERIALS, Inc.

Address before: California, USA

Applicant before: APPLIED MATERIALS, Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130320