CN101227984B - 低氢压力下的远程等离子预清洁 - Google Patents

低氢压力下的远程等离子预清洁 Download PDF

Info

Publication number
CN101227984B
CN101227984B CN2006800270470A CN200680027047A CN101227984B CN 101227984 B CN101227984 B CN 101227984B CN 2006800270470 A CN2006800270470 A CN 2006800270470A CN 200680027047 A CN200680027047 A CN 200680027047A CN 101227984 B CN101227984 B CN 101227984B
Authority
CN
China
Prior art keywords
hydrogen
remote plasma
chamber
dielectric
plasma source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2006800270470A
Other languages
English (en)
Other versions
CN101227984A (zh
Inventor
X·福
J·福斯特
J·于
A·巴特纳格尔
P·高普拉佳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101227984A publication Critical patent/CN101227984A/zh
Application granted granted Critical
Publication of CN101227984B publication Critical patent/CN101227984B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明揭示一种等离子清洁方法,其对于在溅镀沉积之前从一具有高碳含量的多孔性低k介电质移除光阻与氧化物残余物是特别有用。一远程等离子源(52)产生一主要为氢自由基H的等离子。氢压力可以被维持非常低,例如30milliTorr。选择性地,氦可以被添加至处理气体,而使氢分压被保持在低于150milliTorr。在400milliTorr的氢与氦中,70%氦可以获得良好结果。较佳地,一离子过滤件(例如磁性过滤件(62,64)从远程等离子源的输出移除氢与其他离子,并且一来自远程等离子源的供应管(54)是包括一可移除的介电质衬里(66),供应管(54)与介电质喷洒头(40)及岐管衬里(58)形成组合。

Description

低氢压力下的远程等离子预清洁
技术领域
本发明大体上是有关于在制造集成电路的制程中的等离子清洁。特别地,本发明是有关于被执行于图案化蚀刻介电层与沉积之间的等离子清洁。
背景技术
先进集成电路(例如为了45nm节点被构想出的先进集成电路)必须使用超低k介电(电绝缘)材料,以用于连接两阶层的配线之间的阶层间(interlevel)介电层。具有介电常数低于3.9(二氧化硅的介电常数值)的低k材料已经进入商业量产。然而,未来将会需要更低的介电常数,例如低于2.5。此材料的一范例为Black DiamondTM II(BDII)介电质,其可由美国加州圣大克劳拉市Applied Materials公司所购得。Li在美国专利申请案号2003/0194495中描述到,此介电材料的特征是其为碳掺杂的氧化硅(也被称为碳氧化硅),其具有高于10at%的碳百分比。改良物是包括有BDIIx介电质(其是被UV硬化且具有30%的孔隙度),以及DBIIebeam介电质(其是以电子来硬化)。其他含碳的低k介电质是已知,包括有
Figure G2006800270470D00011
Figure G2006800270470D00012
(苯环丁烯)介电材料,其可由Dow Chemical公司获得。许多这些材料的特征在于其是为有机或聚合介电质。
用来形成阶层间内连线(interlevel interconnect)的一原型结构是被绘示在图1的截面图中。一下介电层10包括一形成于其表面的导电特征12。用于先进阶层间连线的导电特征12是典型地由铜组成,但是类似的几何结构应用于接触硅基材的主动半导体区域。一超低k介电材料的上介电层14被沉积在下介电层10与导电特征12上方。一孔洞16是被光微影定义,且被蚀刻穿过上介电层14至导电特征12。对于用在铜金属化的典型的双镶嵌内连线,孔洞16是由一窄的下方介层洞(其形成了至导电特征12的垂直内连线)与一宽的上方沟渠(其形成了集成电路不同部分之间的水平内连线)所构成。对于双镶嵌结构,导电特征12可以为形成在下介电层10中的被填满铜的沟渠。在孔洞已经被蚀刻之后,一薄的共形阻障层(例如Ta/TaN)是被镀覆(典型地是藉由磁控溅镀)至孔洞16的侧壁上,以及至上介电层14的整个场区域表面上。接着,一薄的共形铜晶种层被沉积在阻障层上,也是典型地藉由磁控溅镀。之后,铜被电镀至孔洞16内与场区域上方。最后,化学机械研磨(CMP)被利用来移除孔洞16外面的铜。
光微影蚀刻步骤,甚至在光阻灰化之后,常常残余碳或氟碳聚合层18于孔洞16的侧壁上,其是有利地能够达到高非等向性蚀刻,但是在蚀刻停止后会残留。也会残余一蚀刻残余物20于沟渠底部,其是为蚀刻化学的碳、硅与氟副产物的组合。再者,导电特征12中暴露的铜可能已经氧化成氧化铜。又,灰化残余物22倾向于形成在孔洞16的唇部。若孔洞16底部的蚀刻残余物20与氧化铜没有在金属化沉积之前阻障层沉积以先被移除,蚀刻残余物20与氧化铜会增加接触电阻。聚合覆层18与灰化残余物22会干扰阻障层至介电层14的键结,因此阻障层与铜介层洞结构在制造或操作期间会分层(delaminate),造成了可靠度问题。是以,在阻障层沉积以先移除残余物18、20、22与氧化铜是有需要的。
就传统的氧化硅介电质而言,藉由在蚀刻与沉积步骤之间溅镀蚀刻经图案化晶片以移除残余物来干式清洁晶片是普遍的。这样的溅镀蚀刻典型地包括有高能量离子,高能量离子不会显著地影响相当硬的氧化硅介电质。然而,低k介电层倾向于相当软。所以,溅镀蚀刻倾向于有害地蚀刻且劣化低k介电层。一较软的的化学蚀刻可以利用一被产生在清洁腔室内且邻近于晶片的氧等离子(即原位等离子)而被执行。对于早期型式的具有约3.7介电常数k且非多孔性的低k介电质,此清洁制程已经被证明令人满意。然而,对于大部分近期的具有约2.5介电常数k与大于10%孔隙度的超低k膜,原位氧等离子已经被证明无法令人满意。所被相信的是,氧等离子包括高比例的氧原子,该些氧原子被吸引至负自我偏压,其中该负自我偏压形成在一暴露于等离子的漂浮体上。接着,氧离子撞击超低k膜,且具有足够能量来破坏超低k膜。是以,此技术已经被发展出以一从远程等离子源(remote plasma source,RPS)产生的氧等离子来清洁经图案化晶片,如同Wood等人于美国专利公开案号2004/0219789中所揭示者。远程产生的等离子是强调电中性自由基;而原位等离子是强调带电荷离子。远程产生的氧等离子会发射许多中性且低能量氧自由基至晶片,其会氧化且与不同的残余物发生化学反应以将其移除。
然而,对于超低k介电材料,激化的氧已经被证明无法令人满意。介电常数的降低常常是藉由介电材料中高孔隙度来获得。BDII介电层可以具有超过10%(甚至高于30%)的孔隙度。因此,该些介电层不仅非常柔软,该些介电层对于一氧化干式清洁也是极易反应的。此外,被并入介电质中的氧倾向于产生比硅与碳键更极性的键,而增加了介电常数。是故,基于还氧化学的干式清洁已经被发展出,其是使用例如远程产生的NH3(参阅授予Kropewnicki等人的美国专利US6,440,864)或相当高H2压力的等离子。氢方式已经普及,但是结果依然无法完全令人满意。甚至氢等离子中非常少量的水蒸气会显著地降低多孔性低k膜的厌水性质,且因而倾向于增加了介电常数。甚至一纯氢等离子会倾向于增加介电常数。再者,合理的蚀刻速率已经藉由增加腔室压力被达到,但是电源能力必须依循所增加的压力。又,在更高的氢压力,来自远程等离子源而被离子化且泄漏入清洁腔室的氢的比例会增加。氢离子倾向于被吸引至晶片,且我们相信该些氢离子会破坏多孔性低k材料。
发明内容
本发明的一态样是包括利用被远程等离子源产生的一氢自由基等离子来等离子干式清洁一基材,特别是一包含大量碳的低k介电质且具有高孔隙度。不需要包括有氧或水蒸气。较佳地,氢压力被维持在适度地低,例如介于10与150milliTorr之间,30milliTorr为特别较佳的压力。
替代性地,等离子可以包含氢与一惰性气体(较佳为氦)的组合物,氢分压小于200milliTorr且大于40milliTorr,总压力介于200与600milliTorr之间。
远程等离子源与腔室之间的供应管是有利地包括一陶瓷材料(例如氧化铝)的介电质衬里。也有利地,一离子过滤件被设置在远程等离子源与处理腔室之间,以从等离子移除氢与其他离子。离子过滤件可以是一磁性过滤件,其产生一磁场横越供应管的轴。
附图说明
图1为一阶层间内连线结构或介层洞的截面图。
图2为可用于本发明的一远程等离子清洁腔室的截面图。
图3为一图表,其绘示预清洁一低k介电质时的蚀刻速率与其均匀性,其为来自远程等离子源的氢压力的函数。
图4为一磁性过滤件的轴向截面图,其被用在图2的远程等离子清洁腔室内。
图5为离子偏斜的图表,其中该离子偏斜是在磁性过滤件中获得。
图6为使用与不使用离子过滤件的离子耗尽的图表,其中该离子耗尽为深度的函数。
图7为一长条图,其显示等离子预清洁时水接触角对于氢压力的依赖性。
图8为根据本发明的两实施例的一图表,其绘示蚀刻速率与其非均匀性对于氦浓度的依赖性
图9为根据习知技术与本发明的数个实施例的等离子清洁一低k介电质时介电常数增加的长条图。
主要元件符号说明
10下介电层              12导电特征
14上介电层              16孔洞
18碳或氟碳聚合层        20蚀刻残余物
22灰化残余物            30远程等离子清洁腔室
32真空腔室              34真空唧筒系统
36基座                  38晶片
40气体喷洒头            42穿孔
44氢气源                46质流控制器
48氦气源                50质流控制器
52远程等离子源        54供应管
56气体岐管            58岐管衬里
62磁铁                64磁铁
66介电质衬里
具体实施方式
已经被观察到的是,来自远程等离子源(其基本上不存在有氧与水)的非常低的压力或甚至氢分压是在干式清洁期间提供了可接受的且甚至良好的蚀刻速率,并且显著地减少了介电常数增加。
图2绘示的一远程等离子清洁腔室30是包括一真空腔室32,真空腔室32是由一真空唧筒系统34来唧筒抽吸。一位在真空腔室32内的基座36是支撑一欲被清洁的晶片38,晶片38相对于一气体喷洒头40,气体喷洒头40供应一制程气体通过许多穿孔42。基座36包括一加热器46,以升高晶片38温度至所希望的蚀刻温度。根据本发明的一态样,制程气体为纯氢气(H2),或氢与氦(He)的组合物,其中该纯氢气是从一氢气源44经由一质流控制器46被供应,该组合物是从一氦气源48经由另一质流控制器50被供应。一远程等离子源52是接收气体,且将其激化成等离子。远程等离子源52可以是一对电极,其被设置在一用于制程气体的输送管的相对侧上,且被RF电源或输送管周围的RF诱导线圈或其他型式天线(antenna)所驱动,虽然其他型式的等离子产生器是可行的。被激化的气体是被输送经由一供应管54至位在喷洒头42后方的一气体岐管56。被激化的气体因此可以经由喷洒头40被均匀地输送至正被清洁的晶片38。
对于被形成在基材上的BDII介电质上方且于约300℃在各种腔室压力下被暴露至纯氢激化气体(其被激化于一远程等离子源中且被磁性地过滤以形成一中性激化气体)的光阻,光阻的蚀刻速率与蚀刻非均匀性被测量。结果被绘制在图3。250与400milliTorr之间的结果是显示为何400milliTorr先前是被建议为最佳的实施条件,这是因为光阻蚀刻速率是与在该范围内氢压力成比例地改善了(如同所被预期者),并且非均匀性相当恒定。甚至更高的压力可能提供更佳的结果,但是需要极大的电源供应。再者,更高的压力有可能会增加氢离子泄漏与其他带电荷微粒进入制程区域。令人惊讶地,蚀刻速率依赖氢压力时,测试是显示出一双尖峰结构。在250milliTorr以下,蚀刻速率开始随着减低的压力而增加,且在其下降之前抵达一在非常低压力(very low-pressure,VLP)范围中的约40milliTorr的尖峰。此外,在低于约250milliTorr,非均匀性持续地减小。根据制程与腔室条件的细节而定,有利的氢压力是被相信位在10至150milliTorr的范围内,较佳为介于20至120milliTorr之间。然而,本发明不被限制在这些范围。
所被相信的是,较低的尖峰形成自自由基H产生与H再结合成中性H2之间的竞争。在较低的尖峰以下,对应至用于再结合的平均自由径,再结合是可忽略的,并且H产生细随着增加的压力而增加。在高于较低尖峰以上的增加的压力,H再结合成H2的增加是超过任何在H产生的增加。
先进介电膜(例如Black Diamond)的低介电常数是至少部分地藉由增加的碳比例来产生。在习知技术中等离子清洁产生的有害的增加介电常数,是被相信由碳耗尽所造成(尤其是在介电质的表面)。
在过去,氢离子H+对于粗糙氧化硅介电质是令人满意的等离子清洁试剂。然而,甚至发展在一漂浮基座16上的自我偏压是足以加速氢离子至足够能量,以破坏低k介电质。在本发明的一态样中,一磁性离子过滤件(其额外地被绘示在图4的截面图中)是被设置在远程等离子源52与喷洒头40之间。离子过滤件包括两个水平相对的永久磁铁62、64,该两磁铁62、64被置放在供应管54的相对侧上,以产生一水平磁场B,磁场B在该两此铁之间延伸而垂直于供应管54的轴。较佳地,磁铁62、64(或是构成一有效组合磁铁的多个磁铁)具有足够的横向宽度,使得其磁场在越过垂直于场方向的供应管54尺寸时是基本上恒定。磁场是在沿着供应管54而流经供应管54的氢离子上建立一洛伦兹力(Lorentz force),藉此将该些氢离子偏斜至供应管54的壁,其为氢离子被吸收或至少被中性化之处。在一些情况下,离子被捕获在磁场线上,且在此处中性化或飘移至壁。图5是说明了转向力,其对于5与10eV动能的氢与氧被测量为回旋半径R,其中回旋半径R为所施加磁场B的函数。一3×2磁铁阵列被估计在供应管54的边缘可以建立一500高斯的磁场,且在供应管54的中心可以建立一650高斯的磁场。磁性过滤件已经被发现到对于移除约99%的从远程等离子源52所泄漏的带电荷微粒是有效的。另一方面,中性氢自由基H不受磁场影响,且持续随着气体飘移至岐管56且接着排出喷洒头40的穿孔42。氢自由基形成了一激化的但是中性的气体,且不会技术上地构成一包含离子与电子的等离子。可以使用其他离子过滤件,例如静电偏斜器(electrostaticdeflector)。
然而,自由基倾向于短寿命,这是因为自由基具有大的截面且倾向于再结合。自由基寿命可以藉由在供应管54内设置一管状介电质衬里66而被显著地增加,如图2所示,其中该供应管54是由远程等离子源52延伸至岐管56。自由站立的介电质衬里66可以由例如石英(SiO2)或氧化铝(Al2O3)来构成。有利地,当腔室盖子被开启以进行维护时,介电质衬里66可以从底部被移除,而不肖拆解远程等离子源52或离子过滤件或盖子的剩下部件。
同样的理由,喷洒头40与岐管衬里58可以由介电质来构成,例如氧化铝或更佳者为石英。
X射线光谱学是被用来测量碳含量,其为在200nm厚度BlackDiamond II(BDII)介电膜的深度的函数。在第一测试中,BDII介电膜是在沉积后且在等离子清洁前被探测。图6绘示的线70是显示出,未被处理的膜具有在其主体上约20at%的碳含量,而上升至在其表面处约30at%。在第二测试中,一远程等离子源被用来清洁BDII膜,但是不使用磁性过滤件。线72是显示出主体几乎没有耗尽,但是碳在表面处是显著地被耗尽。在第三测试中,磁性过滤件被启动。线74是显示出,磁性过滤件基本上减少了碳的表面耗尽。减少的碳耗尽是有助于解释碳为主低k介电质中减少的介电常数增加,当其根据本发明的一态样被预清洁时。
相关的测试涉及了以富立叶转换红外线(Fourier-transform infrared,FTIR)光谱学来探测BDII介电膜。碳含量是由对应至Si-C键共振的1276cm-1波数处的讯号来监测。不使用磁性过滤件时,光谱在1276cm-1于讯号中显示一下跌,指出了碳耗尽。使用磁性过滤件时,下跌基本上被减少,其与减少的碳耗尽一致。
另外一连串的测试是测量BDIIx膜形成之后、以高压氢(400milliTorr)等离子清洁之后、以及以低压氢(30milliTorr)等离子清洁之后无任何处理的其水接触角。低的水接触角是显示出材料是较亲水性的,即吸引水。亲水的膜被预期会呈现对于水分破坏的劣等阻抗性,其被相信是导因自水分子的高极性。图7的长条图结果证明了,低压氢的等离子清洁具有小的接触角降低,但是高压氢的等离子清洁具有大的接触角降低。换句话说,结果意味着,在低氢压力时可以减小水分破坏。
似乎氢分压为低压氢等离子预清洁的有效性的一测试方式。在BDIIx介电膜上蚀刻速率与其非均匀性是在30与40milliTorr腔室压力而具有纯氢与三种氢及氦混合物(更详细地说为50%、70%与95%的氦)下被测量。图8的结果显示了,70%He(即120milliTorr氢分压)的蚀刻速率在400milliTorr时是基本上大于95%He,且在30milliTorr时是基本上大于0%He,且在400milliTorr时是基本上大于50%He。这些结果可以被外插到在200至600milliTorr的氦/氢总压力中所希望30至200milliTorr的氢分压的范围(或者50至92%氦)。一较窄的范围为300至500milliTorr的氦/氢总压力中80至150milliTorr的氢分压。然而,本发明不必被限制在这些范围。所被推测的是,额外的氦吸收在腔室壁上且避免自由基被吸附在该处,或者氦减少了自由基之间的碰撞与其再结合或与氢交互作用的氦介稳定状态。所被了解的是,氢与氦的混合物是从一结合源被供应,尤其是因为纯氢是被认为特别有害的。
其他惰性气体(例如氖或氩)提供了氦的一些益处,但是氦被相信是相关于等离子清洁低k介电质为最有效的气体。
具有介电常数2.5且被各种方法预清洁的BDIIx膜的介电常数变化是被测量。这些结果显示在图9的长条图中。原位(in situ)结果为一比较性测试,其使用95%He与5%H2的原位等离子且显示大于10%的劣化。对于来自远程等离子源的400milliTorr氢等离子,结果显示大于1%的劣化。对于来自远程等离子源的30milliTorr纯H2与400milliTorr30%H2及70%He,结果显示介电常数基本上没有变化。是以,不仅远程产生的氢等离子对于预清洁低k介电膜比原位等离子更佳,减少的氢压力或分压也基本上减少,若不忽略介电常数增加。
虽然本发明已经藉由参照图2的预清洁腔室而被叙述,本发明可以被实施在其他型式的腔室中,例如不需要包含喷洒头的腔室。再者,预清洁腔室不需要是一专用的腔室,其可以结合于其他腔室(例如用在蚀刻如阶层间介电质蚀刻、化学气相沉积或溅镀的腔室),因而多个操作可以被执行在同一腔室内。又,本发明不需要被限制在预清洁多孔性低k介电质上的光阻残余物,本发明可以被用在其他型式的软还原蚀刻。
因此,本发明藉由增加蚀刻速率与减少介电质劣化且小幅改变硬体而改善了易碎低k介电质的等离子清洁。

Claims (17)

1.一种等离子处理包含一介电层的基材的方法,其至少包含下列步骤:
设置该基材于一真空腔室中,该真空腔室接附至一远程等离子源;
将一还原处理气体流动通过该远程等离子源,因而通过一磁性离子过滤件以从该处理气体中移除离子,且因而进入该腔室内,气体混合物包含氢且基本上不包含氧或水;以及
维持该腔室内一压力,使得该腔室内的氢分压小于120milliTorr且大于20milliTorr。
2.如权利要求1所述的方法,其中该处理气体基本上由氢所构成。
3.如权利要求1所述的方法,其中该处理气体基本上由氢与氦所构成。
4.如权利要求1所述的方法,其中该介电层包含至少20at%碳,且具有至少10%的孔隙度。
5.如权利要求1所述的方法,其中该介电层具有不大于2.5的介电常数。
6.一种等离子处理一基材的方法,其至少包含下列步骤:
设置该基材于一真空腔室中,该真空腔室接附至一远程等离子源;以及
将一还原处理气体流动通过该远程等离子源,因而通过一磁性离子过滤件以从该处理气体中移除离子,且因而进入该真空腔室内,该处理气体包含氢与一惰性气体且基本上不包含氧或水。
7.如权利要求6所述的方法,其中该惰性气体为氦。
8.如权利要求7所述的方法,其中该处理气体基本上由氢与氦所构成。
9.如权利要求6至8项中任一所述的方法,更包含维持该真空腔室中总压力介于200与600milliTorr之间。
10.如权利要求9所述的方法,更包含维持该真空腔室中氢分压介于40与200milliTorr之间。
11.如权利要求9所述的方法,其中总压力被维持在介于300与500milliTorr之间。
12.如权利要求11所述的方法,其中氢分压被维持在介于80与150milliTorr之间。
13.一种等离子清洁腔室,其至少包含:
真空腔室,其包括一基座以支撑欲被清洁的基材;
介电质喷洒头,其位在该基座的对面;
远程等离子源;
输送管,其连接该远程等离子源的输出至该喷洒头,且包括一可移除的介电质衬里;
磁性离子过滤件,其设置在该输送管上以从穿过该输送管的气体中移除离子;以及
氢气源,其用于该远程等离子源。
14.如权利要求13所述的腔室,其中该磁性离子过滤件包含一磁铁组件,该磁铁组件产生一磁场横越该输送管的一轴,该磁场足以将氢离子偏斜朝向该输送管的一壁。
15.如权利要求13所述的腔室,更包含一氦气源,其用于该远程等离子源。
16.如权利要求13所述的腔室,其中该介电质衬里包含氧化铝,且该喷洒头包含石英。
17.如权利要求13所述的腔室,更包含一第二介电质衬里,其内衬在该喷洒头的一岐管。
CN2006800270470A 2006-01-17 2006-12-28 低氢压力下的远程等离子预清洁 Active CN101227984B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/334,803 US7704887B2 (en) 2005-11-22 2006-01-17 Remote plasma pre-clean with low hydrogen pressure
US11/334,803 2006-01-17
PCT/US2006/049440 WO2007087067A2 (en) 2006-01-17 2006-12-28 Remote plasma pre-clean with low hydrogen pressure

Publications (2)

Publication Number Publication Date
CN101227984A CN101227984A (zh) 2008-07-23
CN101227984B true CN101227984B (zh) 2010-06-16

Family

ID=38309712

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800270470A Active CN101227984B (zh) 2006-01-17 2006-12-28 低氢压力下的远程等离子预清洁

Country Status (5)

Country Link
US (1) US7704887B2 (zh)
KR (1) KR101012098B1 (zh)
CN (1) CN101227984B (zh)
TW (1) TWI366868B (zh)
WO (1) WO2007087067A2 (zh)

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8500913B2 (en) 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US20100022091A1 (en) * 2008-07-25 2010-01-28 Li Siyi Method for plasma etching porous low-k dielectric layers
JP5247528B2 (ja) * 2009-02-23 2013-07-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理方法及びガス導入手段
US20100252047A1 (en) * 2009-04-03 2010-10-07 Kirk Seth M Remote fluorination of fibrous filter webs
US8703003B2 (en) * 2009-04-20 2014-04-22 Spts Technologies Limited Selective etching of semiconductor substrate(s) that preserves underlying dielectric layers
US8193075B2 (en) * 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US8420544B2 (en) 2010-06-03 2013-04-16 United Microelectronics Corp. Method for fabricating interconnection structure with dry-cleaning process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN103477721B (zh) * 2011-04-04 2016-05-18 佳能安内华股份有限公司 处理装置
US8846509B2 (en) * 2011-11-15 2014-09-30 Applied Materials, Inc. Remote radical hydride dopant incorporation for delta doping in silicon
CN102623395A (zh) * 2012-03-22 2012-08-01 上海华力微电子有限公司 一种低介电常数薄膜表面处理方法
KR101358252B1 (ko) * 2012-06-20 2014-02-06 주식회사 다원시스 플라스마 이온 분리 세정 방법 및 장치
WO2013191430A1 (ko) * 2012-06-20 2013-12-27 주식회사 다원시스 플라스마 이온을 이용한 세정 장치 및 세정 방법
KR101358250B1 (ko) * 2012-06-20 2014-02-06 주식회사 다원시스 플라스마 이온 분리 및 가속 세정 방법 및 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
CN105453233B (zh) * 2013-08-09 2019-10-22 应用材料公司 于外延生长之前预清洁基板表面的方法和设备
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
CN104550133B (zh) * 2014-12-11 2017-02-22 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10483091B1 (en) 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11264219B2 (en) * 2019-04-17 2022-03-01 Samsung Electronics Co., Ltd. Radical monitoring apparatus and plasma apparatus including the monitoring apparatus
KR20220028142A (ko) 2019-07-18 2022-03-08 매슨 테크놀로지 인크 수소 라디칼 및 오존 가스를 사용한 워크피스의 처리
CN110349830B (zh) * 2019-09-09 2020-02-14 北京北方华创微电子装备有限公司 等离子体系统以及应用于等离子体系统的过滤装置
CN110571121B (zh) * 2019-09-17 2022-08-26 江苏鲁汶仪器有限公司 采用远程等离子体源自清洗离子束刻蚀装置及清洗方法
CN116031158B (zh) * 2023-03-29 2023-06-16 长鑫存储技术有限公司 金属氧化物层的去除方法及半导体结构的制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958510A (en) * 1996-01-08 1999-09-28 Applied Materials, Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
CN1254946A (zh) * 1998-11-25 2000-05-31 中国科学院半导体研究所 砷化镓表面清洁方法
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4883686A (en) * 1988-05-26 1989-11-28 Energy Conversion Devices, Inc. Method for the high rate plasma deposition of high quality material
US4961958A (en) * 1989-06-30 1990-10-09 The Regents Of The Univ. Of Calif. Process for making diamond, and doped diamond films at low temperature
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US5449433A (en) * 1994-02-14 1995-09-12 Micron Semiconductor, Inc. Use of a high density plasma source having an electrostatic shield for anisotropic polysilicon etching over topography
JP3297291B2 (ja) 1995-03-10 2002-07-02 株式会社東芝 半導体装置の製造方法
US5780359A (en) * 1995-12-11 1998-07-14 Applied Materials, Inc. Polymer removal from top surfaces and sidewalls of a semiconductor wafer
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6392187B1 (en) * 1997-10-15 2002-05-21 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6090629A (en) 1997-10-31 2000-07-18 Amgen, Inc. Efficient construction of gene targeting using phage-plasmid recombination
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6634313B2 (en) * 2001-02-13 2003-10-21 Applied Materials, Inc. High-frequency electrostatically shielded toroidal plasma and radical source
US6780766B2 (en) * 2001-04-06 2004-08-24 Micron Technology, Inc. Methods of forming regions of differing composition over a substrate
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US20030194495A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US6927178B2 (en) 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
NL1021400C2 (nl) * 2002-09-05 2004-03-08 Tno Werkwijze en inrichting voor het bepalen van een faseovergang van een stof.
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6921727B2 (en) 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
WO2004112114A1 (ja) * 2003-06-16 2004-12-23 Tokyo Electron Limited 成膜方法、半導体装置の製造方法、半導体装置および成膜装置
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
JP4593477B2 (ja) * 2003-11-14 2010-12-08 東京エレクトロン株式会社 基板処理方法
US20050205206A1 (en) * 2004-03-19 2005-09-22 Robert Lembersky Apparatus for materials processing by stimulated light emission and method of its utilization
JP4503356B2 (ja) * 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
JP2006013190A (ja) * 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
JP2006128542A (ja) * 2004-11-01 2006-05-18 Nec Electronics Corp 電子デバイスの製造方法
US20060102895A1 (en) * 2004-11-16 2006-05-18 Hendrix Bryan C Precursor compositions for forming tantalum-containing films, and tantalum-containing barrier films and copper-metallized semiconductor device structures
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958510A (en) * 1996-01-08 1999-09-28 Applied Materials, Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
CN1254946A (zh) * 1998-11-25 2000-05-31 中国科学院半导体研究所 砷化镓表面清洁方法

Also Published As

Publication number Publication date
US20070117397A1 (en) 2007-05-24
CN101227984A (zh) 2008-07-23
TW200735196A (en) 2007-09-16
WO2007087067A2 (en) 2007-08-02
KR20080021724A (ko) 2008-03-07
TWI366868B (en) 2012-06-21
KR101012098B1 (ko) 2011-02-07
WO2007087067A3 (en) 2007-12-06
US7704887B2 (en) 2010-04-27

Similar Documents

Publication Publication Date Title
CN101227984B (zh) 低氢压力下的远程等离子预清洁
CN101379213B (zh) 对面向等离子的壁的水蒸气钝化
KR100849707B1 (ko) 탄소-도우핑된 저유전체들의 선택적 식각
KR100971045B1 (ko) 수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법
US9659791B2 (en) Metal removal with reduced surface roughness
KR100915585B1 (ko) 유전체 필름을 세정하기 위한 장치 및 방법
US9406523B2 (en) Highly selective doped oxide removal method
US20150179464A1 (en) Dry-etch for selective tungsten removal
US9385028B2 (en) Air gap process
US9378969B2 (en) Low temperature gas-phase carbon removal
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20140273451A1 (en) Tungsten deposition sequence
WO2016118279A1 (en) Titanium nitride removal
KR20040007210A (ko) 중풀루오르화탄소 에칭 가스를 이용한 전자기 강화플라즈마 에칭 방법
WO2000014793A2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
JP2001110789A (ja) 集積した低k誘電体層とエッチング停止層
JP4911936B2 (ja) プラズマアッシング方法
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
US20100022091A1 (en) Method for plasma etching porous low-k dielectric layers
WO2005038906A1 (en) An etch back process using nitrous oxide
JP3963295B2 (ja) ケミカルドライエッチング方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.