KR20040007210A - 중풀루오르화탄소 에칭 가스를 이용한 전자기 강화플라즈마 에칭 방법 - Google Patents

중풀루오르화탄소 에칭 가스를 이용한 전자기 강화플라즈마 에칭 방법 Download PDF

Info

Publication number
KR20040007210A
KR20040007210A KR1020027005520A KR20027005520A KR20040007210A KR 20040007210 A KR20040007210 A KR 20040007210A KR 1020027005520 A KR1020027005520 A KR 1020027005520A KR 20027005520 A KR20027005520 A KR 20027005520A KR 20040007210 A KR20040007210 A KR 20040007210A
Authority
KR
South Korea
Prior art keywords
etching
magnetic field
etch
photoresist
plasma
Prior art date
Application number
KR1020027005520A
Other languages
English (en)
Other versions
KR100756704B1 (ko
Inventor
징바오 리우
타케히코 고마쯔
홍킹 샨
케이지 호리오카
브라이언 와이. 푸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040007210A publication Critical patent/KR20040007210A/ko
Application granted granted Critical
Publication of KR100756704B1 publication Critical patent/KR100756704B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

자기적으로 강화된 반응성 이온 에칭(MERIE) 플라즈마 반응기내에서 산화물 에칭 공정을 실시하였다. 에칭 가스는 가장 바람직하게는 C4F6 인 수소가 없는 풀루오르화탄소 및 산소를 대략적으로 동일한 양으로 포함하고 그리고 훨씬 더 많은 양의 아르곤 희석 가스를 포함한다. 바람직하게, 자기장은 약 50 가우스 이상으로 유지되고 압력은 40 밀리토로 이상에서 유지되며 챔버 잔류시간은 70 밀리초 이하이다. 매우 큰 종횡비의 홀을 에칭하기 위해 2-단계의 프로세스를 적용할 수도 있다. 제 2 단계에서, 자기장 및 산소 유동이 감소된다. F/C 비가 2 이하, 보다 바람직하게는 1.6 또는 1.5 인 기타 풀루오르화탄소로 대체할 수도 있다.

Description

중풀루오르화탄소 에칭 가스를 이용한 전자기 강화 플라즈마 에칭 방법{MAGNETICALLY ENHANCED PLASMA ETCH PROCESS USING A HEAVY FLUOROCARBON ETCHING GAS}
최근의 실리콘 집적 회로는 수백만 내지 수천만의 상호 연결 반도체 소자를 포함한다. 그러한 고집적도는, 적어도 부분적으로라도, 최소선폭(minimum feature size)의 감소에 의해 그리고 수평 연장하는 금속화(metallization) 라인의 다수 배선층의 제공에 의해 달성되었다. 유전체층이 배선층을 분리하며, 그 배선층들은 작은-면적의 수직 금속화에 의해 선택적으로 연결된다. 유전체층이 두개의 금속화층을 분리하는 경우에, 수직적인 상호 연결은, 두 금속화층들 간을 연결할 때에는 바이어(via)로 불리며, 제 1 금속화층과 실리콘 기판상에 설비된 반도체 소자 간을 연결할 때에는 접촉 홀(contact hole)로 불려 진다. 본 발명은 건식 플라즈마 에칭에 의해 바이어 또는 접촉 홀을 형성하는 것과 관련하여 주로 설명된다. 그러한 홀이 에칭된 후에, 그 홀들은 텅스텐 등의 금속화로 채워지며, 그에 따라 수직 연결부를 형성한다.
이하에서 설명하는 바와 같이, 바이어 또는 접촉 홀의 에칭은, 앞선 구조일 수록 그 폭이 작아지고 또 종횡비(aspect ratio)가 커지기 때문에, 점점 더 어려운 작업이 된다. 본 발명은 바이어 및 접촉 홀 모두(및 기타 유전체 에칭 용도)에 적용할 수 있기 때문에, 이하에서 "바이어" 및 "접촉" 이라는 용어는 그 바이어 또는 접촉에 특정되는 공정의 특별한 부분을 구분하지 않고 거의 혼용하여 사용될 것이다.
통상적으로, 중간(inter-level) 유전체는 실리카계 산화물로 구성되며, 그 실리카계 산화물은 TEOS를 이용하여 플라즈마 화학증착(CVD) 공정에서 성장된 이산화 실리콘, 또는 유리상에 스핀(spin)으로서 증착된 보로포스페이트 실리케이트 글라스(borophosphate silicate glass(BPSG), 또는 기타 유전체이다. 보다 최근에, 중간 유전체로서 사용하기 위한 낮은-k 유전체 물질이 개발되었다. 그러한 물질의 낮은 유전 상수는 수평 또는 수직 방향으로 인접한 라인들 사이의 용량성(capacitive) 연결 가능성을 줄이며, 따라서 혼선(cross talk), 전력 소모, 및 신호 라이즈 타임(rise time)을 감소시킨다. 낮은-k 유전체는 일부 실리콘계 및 기타 탄소계와 같은 여러 가지 조성을 가진다.
통상적인 최근 바이어 홀이 도 1에 단면도시되어 있다. 하부의 유전체 층(10)은, 하부의 층(10)에 대한 금속화 라인과 같은 금속 배선(feature)(12)을 포함한다. 상부 유전체 층(14)은 하부 유전체 층(10) 및 금속 배선(12)상에 증착된다. 화학기계적 연마(CMP)를 이용하여 유전체 층(14)의 상부면을 평탄화할 수 있다. 포토레지스트 층(16)이 상부 유전체 층(14)상에 회전도포(spun)되고 건조되며, 금속 배선(12)위쪽에 놓이는 바이어가 형성될 영역에 포토마스크 개구를 노출시키고 현상시키기 위해 포토그래픽 수단이 사용된다. 에칭전의 패턴화된 포토레지스트의 최초의 상부 프로파일(profile)은 선(16')로 도시되었다. 플라즈마 에칭 단계는, 유전체 층(14)을 통해 하부의 금속 배선(12)까지 에칭하여 바이어 홀(20)을 형성하기 위해, 패턴화된 포토레지스트 층을 포토마스크로서 이용한다. 유전체를 에칭하는 단계는 산화물 에칭으로 통칭된다.
바이어 홀(20)의 형성 후에, 포토레지스트가 박리되고, 금속이 바이어 홀(20)내로 증착된다. 여러 소자 제조업자의 특정 요구에 따라 그러한 구조는 도시된 것 보다 더 복잡해질 수 있다. 금속 배선(12)은 유전체 층(14) 위로 상승한 라인일 수 있으며, 또는 유전체 내에서 수평 트렌치(trench) 및 연결 수직 바이어가 결합된 이중-상감(dual-damascene) 구조일 수 있다. 에칭 정지 층이 하부 유전체 층(10)과 상부 유전체 층(14) 사이에 형성되어 하부 금속의 스퍼터링 없이 에칭 정지 층에서 에칭 단계가 정지되게 할 수 있다. 실리카의 불소계 플라즈마 에칭에 대하여, 질화 실리콘은 양호한 에칭 정지 층이 된다. 비(anti)-반사 코팅이 상부 유전체 층(14)과 포토레지스트 층(16) 사이에 형성되어 포토마스크의 패터닝에 사용되는 포토그래픽 단계의 해상도를 좋게 한다. 이러한 추가적인 구조적 특징들은 당업계에 공지되어 있다. 통상적으로, 그러한 구조물들의 에칭은 유전체 에칭과는 별도의 단계에서 실시되며, 여러 가지 상이한 에칭 단계를 결합하기 위한 통합 에칭 공정의 개발이 요구된다. 본 발명은 주로 유전체 에칭에 관한 것으로서, 매우곤란한 해결과제를 목적으로 하고 있다.
일반적으로, 유전체 층(14)의 두께는 약 0.7 내지 1.4 ㎛ 이다. 이 두께는 최신 소자에서도 감소되지 않을 것이다. 여러 가지 깊이의 바이어 홀을 포함하는 보다 두꺼운 두께는 대게 보다 복잡한 금속화 구조와 관련되며, 그 것은 보다 적은 공정 단계로 소자의 밀도를 증가시킬 수 있게 한다. 상업적으로 개발된 칩의 바이어 폭은 0.18 ㎛ 정도로 작다. 0.13 ㎛ 폭에 관한 기술이 개발되고 있다. 0.10 ㎛ 폭도 근간에 개발될 것이다.
이렇게 점점 좁아지는 폭은 에칭 문제를 야기하며, 특히 실질적으로 일정하게 유지되는 유전체 두께와 관련하여 더욱 문제를 야기한다. 바이어 홀(20)의 종횡비(aspect ratio)가 점점 커진다. 바이어 홀의 종횡비는 홀의 상부에서 그 홀의 가장 좁은 크기에 대한 홀의 깊이 사이의 비율이다. 현재, 진보된 칩에서의 종횡비는 4 또는 5 이다. 앞으로 개발될 칩에서, 종횡비는 8 또는 10 으로 커질 것이다. 그러한 큰 종횡비는, 홀의 내부 깊숙이 도달하는 높은 이방성(異方性) 에칭을 필요로 하기 때문에, 산화물 에칭에 대한 해결과제를 야기한다. 큰 종횡비의 에칭 역시, 홀의 보다 깊은 깊이에서의 산화물 에칭 속도의 감소로 인해, 포토레지스트에 대한 높은 에칭 선택도(selectivity)를 필요로 한다. 산화물 에칭에서 요구되는 선택도 및 이방성은 비-산화물 물질 및 모든 수직 측벽에 보호성 폴리머를 증착하는 풀루오르화탄소 플라즈마 화학반응을 이용하여 통상적으로 성취된다. 한편, 활성화된 이온 충격(ion bombardment)의 존재하에서 풀루오르 플라즈마 및 하부 산소의 조합은 에칭될 실리카 홀의 바닥에 형성된 폴리머를 파괴하고 하부의 실리카를 에칭제에 노출시키며, 그러한 노출에 의해 홀로부터 펌핑 배출되는 증발성 성분으로 변환시키며, 그에 따라 홀이 에칭된다. 그러나, 지나치게 많은 폴리머가 형성되었다면, 홀은 폴리머로 메워지고 홀의 바닥에 도달하기 전에 에칭이 정지될 것이다. 동일한 조건에서 추가로 에칭을 하더라도 에칭 공정을 효과적으로 완료하기 곤란하다. 이러한 해로운 결과를 에칭 정지라 칭한다.
그러한 좁은 형태에 요구되는 포토리소그래피는 통상적으로 강한(deep) 자외선(DUV)에 의지한다. DUV 복사에 민감한 포토레지스트를 이용할 수 있다. 포토레지스트의 두께는 최소 홀 폭 정도로 제한되어야 한다. 그렇지 않으면, 포토리소그래피는 포토레지스트의 깊이에서 초점이 맞지 않게 된다. 그러나, 포토레지스트는 대개 에칭 화학반응에 의해 어느 정도 에칭되기 쉬운 탄소계 폴리머이다. 결과적으로, 포토레지스트의 깊이는 도 1 에 도시된 최초 프로파일(16')로부터 프로파일(16)로 감소된다. 또한, 대부분의 에칭 화학반응에서, 노출된 모서리들은 평면 보다 빨리 에칭됨으로써, 포토마스크내의 패턴화된 홀 주변의 포토레지스트 층(16)의 상부 모서리에 형성된 모서리 면(facet)(22)에서 주로 가장 심각한 선택도 문제가 나타난다. 포토레지스트와 같은 폴리머 물질의 에칭은 도시된 것 보다 더 곡면적인 모서리 면을 생성한다. 포토레지스트 에칭 마진(margin)은 패턴화된 바이어 홀(20)에 인접한 포토레지스트(16) 측벽의 잔류 높이(24)에 의해 주어진다. 만약 모서리 면(22)이 아래쪽의 상부 유전체 층(14)에 도달한다면, 즉 포토레지스트 에칭 마진이 영(zero)이라면, 포토마스크와 관련한 임계 크기(CD)가 상실되고, 바이어 홀(20)의 상부 부분이 넓어지게 된다.
작은 포토레지스트 선택도와 관련한 다른 문제, 특히 모서리 면(22) 주변에서의 문제는 높은 에너지의 입자들이 포토레지스트를 스퍼터링시키고, 스퍼터링된 포토레지스트 물질이 바이어의 상부 측벽에 재증착되기 쉽다는 점이다. 그러한 비-균질 재층착된 포토레지스트는 홀 프로파일의 제어를 곤란하게 한다. 이러한 이유로, 포토레지스트에 대한 산화물 에칭의 선택도는 반드시 크게 유지되어야 하며, 대부분의 임계적인 포토레지스트 선택도는 모서리 면과 관련된다.
산화물 에칭에서 포토레지스트 선택도는 항상 관심의 대상이 되어 왔으나, 대개 질화물 선택도가 보다 큰 관심의 대상이 되어 왔다. 그러나, 매우 좁은 구조에서, 포토레지스트 선택도는 산화물 에칭에 부여된 매우 어려운 조건이 될 것으로 보인다.
최근의 연구는 풀루오르화탄소 플라즈마가 매우 큰 질화물에 대한 선택도를 가지고 약 5:1 까지의 종횡비로 산화물내에 홀을 에칭할 수 있다는 것을 보여준다. 헝(hung) 등은, 본 명세서에서 전체를 인용하고 있는 1999년 3월 25일자 출원의 미국 특허 출원 제 09/276,311 호에서 특별히 유리한 에칭 방법을 개시하였다. 이 특허 출원은, 헥사풀루오르부타딘(C4F6)과 같이 F/C 비가 작은 수소가 없는 중(重)풀루오르화탄소를 큰 분율의 캐리어 가스와 조합하여 활성 에칭제 종(species)으로서 사용하는 이점에 대하여 기술하고 있다. 아르곤은 플라즈마 에칭에 대한 통상적인 캐리어 가스이나, 1999년 3월 25일자 및 1999년 9월 24일자로 각각 출원한 미국 특허 출원 제 09/276,311 호 및 제 09/405,869 호에서 헝(hung) 등은 C4F6와 같은 풀루오르화탄소와 조합하여 제논을 캐리어 가스로 사용할 때 에칭 정지 없이 보다 양호한 질화물 선택도가 얻어진다는 것을 제시하였다. 이러한 특허 출원들은 전체를 본 명세서에서 인용한다.
상기 특허 출원에서 제시된 화학반응은 미국 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼스 인코포레이티드가 공급하는 IPS 에치 반응기(Etch Reactor)에서 원래 개발되었다. 그 IPS 반응기는, 플라즈마 외장을 제외한 플라즈마 전체 영역에 걸친 이온화 밀도가 적어도 1011cm-3인 것으로 규정되는 고밀도 플라즈마(HDP)를 생성하기 위해 유도(conductively) 결합된 플라즈마 공급원을 이용하는 고밀도 플라즈마 반응기 이다. IPS 반응기에서, RF 유도 코일은 주로 플라즈마를 생성하기 위해 반응하는 반면, 받침(pedestal) 전극에 인가되는 다른 RF 공급 전력은 DC 자체-바이어스(self-vias) 전압을 제어하며 그에 따라 플라즈마 외장을 가로질러 웨이퍼를 향해 가속되는 이온의 에너지를 제어한다. 주로 다이오드 반응기라고 불려지는 보다 일반적인 용량(capacitively) 결합된 플라즈마 에칭 반응기에서 산화물 에칭을 실시하는 것에 관한 요구가 여전히 존재하고 있다. HDP 반응기는 최근에야 개발되었고 아직까지는 비교적 고가이다. 또한, 고밀도 플라즈마는, 예를 들어 유전체를 대전(帶電)시킴으로써, 반도체 칩에 손상을 줄 수 있다. 이러한 손상 메카니즘은 대개 HDP 반응기내에서 제어될 수 있지만, 낮은 플라즈마 밀도를 생성하는 용량 결합 반응기내에서는 훨씬 덜 문제가 된다.
용량 결합 에칭 반응기의 예를 들면, 도 2 에 개략적으로 도시한 자기 강화반응성 이온 에칭(MERIE) 반응기(30)가 있다. 도시된 반응기는 어플라이드 머티어리얼즈사가 공급하는 MxP, eMAX, Super-e 에칭 반응기들을 기본으로 한 것이다. 그 반응기는, 벽을 보호하기 위한 라이너를 포함할 수 있는 접지된 진공 챔버(32)를 포함한다. 웨이퍼(34)는 슬릿 밸브 개구(36)를 통해 챔버(32)내로 삽입되고, 웨이퍼를 선택적으로 클램핑하는 정전기 척(chuck)(40)을 구비한 음극 받침(38)상에 위치된다. 척의 전력 공급구조는 도시하지 않았다. 받침(38)을 통과하는 도시되지 않은 유체 냉각 채널은 받침을 낮은 온도에서 유지한다. 헬륨과 같은 열전달 가스가 받침(38)의 상부면상의 도시되지 않은 홈들에 공급된다. 열전달은 받침(38)과 웨이퍼(34) 사이의 열적 커플링 효율을 증대시키고, 상기 웨이퍼는 정전기 척(40) 또는 선택적인 둘레 웨이퍼 클램프에 의해 받침(38)에 대해 유지된다.
바람직하게 13.56MHz 에서 작동하는 RF 전력 공급원(42)이 음극 받침(38)에 연결되고 플라즈마를 생성하기 위한 유일한 큰 전력을 공급하고 또한 DC 자체-바이어스를 제어한다. 도시되지 않은 전류 공급원에 의해 전력이 공급되는 자석 코일(44)이 챔버(32)를 둘러싸고, 플라즈마 밀도를 높이기 위해 천천히 회전(수초(seconds) 정도 및 통상적으로 10ms 이하)하는 수평의 본질적인 DC 자장을 생성한다. 진공 펌프 시스템(46)은 조절가능한 트로틀 밸브(48)를 통해 챔버(32)를 펌핑한다. 차폐부(50, 52)는 챔버(32) 및 받침(38)을 보호할 뿐만 아니라 트로틀 밸브(48)에 연결된 펌핑 채널(54) 및 배플(baffle)(54)을 형성한다.
프로세스 가스는, 웨이퍼(34) 위쪽에서 챔버(32)의 지붕에 배치되고 프로세스 영역(72)을 통해 웨이퍼(34)와 분리된 석영 가스 분배판(70)까지, 가스공급원(58, 60, 62)으로부터 각각의 질량 유동 제어부(64, 66, 68)를 통해 공급된다. 에칭 가스의 조성은 본 발명의 일 형태의 청구 대상이다. 분배판(70)은 매니폴드(74)를 포함한다. 그 매니폴드(74)는 프로세스 가스를 수용하고, 프로세스 영역(72)내로 프로세스 가스를 보다 균일한 유동으로 분사하기 위한 수 많은 분배 개구(76)를 가지는 샤워헤드를 통해 프로세스 영역(72)과 연통된다.
상기 '311 특허 출원에는 MxP, eMAX, 또는 Super-e 반응기와 같은 자기 강화 반응성 이온 에칭기(MERIE)내에서 에칭 산화물로 C4F6를 이용한 몇가지 주요 결과가 기재되어 있다. 선호되는 방법은 C4F6및 많은 양의 아르곤 만을 포함하나, 생산적으로 가치있는 방법 및 보다 바람직한 실시를 위해서는 추가적인 작업이 필요할 것으로 생각된다.
본 발명은 전체적으로 플라즈마 에칭에 관한 것이다. 특히, 본 발명은 전자기적으로 강화된 플라즈마 에칭 반응기를 이용하여 반도체 집적 회로의 산화물 층을 에칭하는 방법에 관한 것이다.
도 1 은 높은 종횡비 바이어 홀의 단면도.
도 2 는 자기 강화된 용량 결합 플라즈마 에칭 반응기의 개략도.
도 3 내지 도 7 은 각각 DC 자체-바이어스, 반응제 잔류 시간, 자기장, RF 전력, 및 챔버 압력에 대한 산화물 에칭 속도 및 포토레지스트 선택도를 도시한 그래프.
본 발명은 헥사풀루오르부타딘(C4F6)과 같은 없는 중(重)풀루오르화탄소, 산소 및, 바람직하게는 아르곤 가스인 상당한 분율의 캐리어 가스를 이용하여 용량 결합 플라즈마 에칭 반응기에서 유전체 산화물을 에칭하는 방법을 포함한다. 이산화탄소(CO2)가 부가적으로 첨부될 수 있다. 그 방법은 에칭 산화물에 대한 높은 선택도를 포토레지스트에 제공할 수 있고, 그에 따라 매우 높은 종횡비의 홀을 에칭하는데 특히 유용하다. 바람직하게, 풀루오르화탄소는 수소가 없고 F/C 비율이 2 이하, 보다 바람직하게 1.6 이하 및, 가장 바람직하게는 1.5 이하이다.
본 발명은 또한 에칭된 홀이 깊어짐에 따라 자장을 감소시키는 단계를 포함한다. 본 발명은 또한 풀루오르화탄소에 대한 산소의 양을 감소시키는 단계를 추가로 포함한다. 폴리머의 조성 및 정합(conformal) 증착을 제어할 수 있는 이러한 발명은 높은 종횡비의 홀에 대한 프로파일 제어를 개선할 뿐만 아니라, Si3N4, 폴리실리콘, 및 금속 규화물과 같은 SiO2이외의 물질로 만들어진 하부 층들에 대한 선택도 역시 개선한다. 그에 따라, 여기에서 생성되는 넓은 범위의 플라즈마 조성은 SAC 및 이중 상감과 같은 모든 중요 유전체에 적합하다.
산화물내의 홀을 풀루오르화탄소 에칭하는 단계에서 중합체 층을 형성하는 것은, 수직 프로파일을 생성하는 이방성 에칭에서 그리고 포토레지스트, 질화물, 및 실시콘을 포함한 비-산화물 물질에 대한 산화물의 선택적인 에칭에서 중요한 것으로 인식되고 있다. 매우 큰 종횡비를 가지는 바이어 및 기타 홀에서, 폴리머화(polymerization) 정도 및 폴리머의 조성을 정밀하게 제어하는 것이 중요해지고 있다. 폴리머화가 너무 적으면 포토레지스트, 질화물, 및 기타 비-산화물물질에 대한 선택도 및 측벽에 대한 보호가 열화(劣化)될 것이고, 종횡비가 매우 큰 홀에서의 약간의 과다한 폴리머화는 홀의 바닥을 향한 에칭 정지를 유발할 것이다. 폴리머내의 탄소 농도는 플라즈마에 의한 에칭에 대한 저항 및 그 폴리머의 증착의 정합성에 영향을 미칠것이다. 폴리머의 플라즈마 저항성이 클수록 포토레지스트 선택도가 증가되는 반면, 폴리머의 정합 증착은 종횡비가 큰 홀 에칭의 프로파일 제어를 돕는다. 풀루오르화탄소 분자에서 탄소 분자에 대한 불소의 비율인 F/C 비, 및 F 와 C 원자의 결합 방식인 분자 결합 구조는 폴리머의 특성 및 포리머화를 제어하는데 중요하다. 높은 F/C 비는 강한 에칭 가스를 나타내며, 낮은 F/C 비는 대개 과다한 폴리머화를 초래한다. 결합 구조는 보다 바람직한 라디칼(radical) 타입 및 밀도를 가지는 플라즈마를 생성하는데 필요한 프로세스 조건을 결정할 것이다. y/x < 2, 보다 바람직하게는 y/x ≤1.6, 가장 바람직하게는 y/x ≤1.5 인 수소가 없는 풀루오르화탄소 CxFy의 낮은 F/C 비율 그리고 보다 우수한 결합 구조라는 이점은 보다 발전된 용도를 위한 전술한 좁은 폴리머화 범위(window)내에서 폴리머화가 제어될 수 있게 한다.
옥타풀루오르시클로부탄(C4F8)은 일반적인 산화물 에칭 가스이나, 가장 바람직한 F/C 비율치를 만족시키지 못한다. 옥타풀루오르펜타딘(C5F8)은 보다 바람직한 F/C 비를 만족시킨다. 헥사풀루오르부타딘(C4F6)은 가장 바람직한 풀루오르화탄소이나, C4F6의 기타 이성질체가 알려져 있다. 헥사풀루오르벤젠(C6F6)은 낮은 F/C비를 가지지만, 높은 끓는점 및 높은 액체 점성으로 인해 작업하기가 곤란하다.
제어된 폴리머화 중에 이러한 제어가능성을 성취하기 위해, 낮은 F/C 풀루오르화탄소 및 기체 산소(O2)나 일산화탄소(CO)와 같은 폴리머-산화 가스를 가지는 높은 분률의 캐리어 가스의 이로운 효과를 조합하는 것이 주로 바람직하다. 상기 산소와 일산화탄소 중에서, 일산화탄소는 플라즈마내의 F/C 비 제어를 보다 복잡하게 하고, 보다 복잡한 폴리머를 생성하는 경향이 있으며, 독성 및 부식성을 가지는 것으로 간주되기 때문에, 산소가 훨씬 바람직하다. 수소 역시 폴리머화되고 플라즈마 화학반응을 복잡하게 하기 때문에, 수소가 없는 풀루오르화탄소를 이용하는 것이 대체적으로 바람직하다.
이러한 낮은 F/C 비의 CxFy가스의 이점은, 이러한 플라즈마 밀도 범위에서 수소가 없는 중(重) 풀루오르화탄소 가스의 독특한 분리(dissociation) 패턴으로 인해, 낮은 또는 중간 밀도 플라즈마에서 실현된다. 자기 강화 반응 이온 에칭(MERIE)을 위해 사용되는 것과 같은 용량 결합 에칭 반응기와 관련한 낮은 플라즈마 밀도(109내지 1011/cm3)는 고밀도 플라즈마(HDP) 에칭 반응기에서 얻을 수 있는 것 보다 양호한 포토레지스트 선택도를 얻을 수 있는 이점을 가진다고 생각한다. 매우 높은 분리율(dissociation rate)을 가지는 고밀도 플라즈마에서, 산화물 및 포토레지스트 모두에 대해 매우 큰 에칭 강도를 가지는 자유 불소 라디칼 F*의 농도가 크고 그에 따라 포토레지스트 선택도가 작은 공급 가스의 보다 작은 분율로풀루오르화탄소 프로세스 가스가 신속하게 분리된다. 과다한 자유 불소는 산화물 또는 포토레지스트의 에칭 공정에 의해서, 또는 통상적으로 가열된 실리콘으로 이루어진 챔버 부품에 의한 제어가능한 소기(掃氣)에 의해서만 소비될 수 있다. 이러한 소기의 제어가능성은 압력 파라미터 범위 및 부품 재료에 의해 한정되고, 대개 소모품 비용의 발생을 초래한다. 또한, 고밀도 플라즈마는 고온 전자를 생성하는 경향이 있고, 플라즈마로부터 빠져나가는 고온 전자는 절연성 포토레지스트내로 주입(implant)되기 쉬우며, 그에 따라 그 포토레지스트를 전기음성적으로 대전시킨다. 그 후에, 포획된 음전하는 양전기로 대전된 이온을 플라즈마로부터 포토레지스트로 가속한다. 그러한 고-에너지 이온은 포토레지스트를 스퍼터링시킬 것이다. 한편, MERIE 플라즈마는 상당히 낮은 전자 온도를 생성하며, 그에 따라 대전 효과를 줄인다.
이러한 낮은 F/C 비를 가지는 에칭 가스의 독특한 특성에 의해 가스 분리 패턴을 많이 변화시키기 않고도 비교적 높은 자장을 사용할 수 있게 된다. 이것은 포리머화 형성에 최소한의 영향을 미치는 프로세스 조건으로 조절하는 독립적인 노브(knob)로서 자장이 사용될 수 있게 한다. MERIE 에칭기내에 인가된 자장이 플라즈마 이온화 밀도를 109내지 1011cm-3범위내로 증가시킨다는 것이 공지되어 있다. 자장은, 플라즈마 밀도를 증가시키기 위해 큰 RF 전력을 사용하는 경우에 발생하는 것과 같은 DC 바이어스의 증가 없이, 플라즈마 밀도를 증가시킨다. 또한 자장은 프로세스 가스 분해 순서에 강한 영향을 미치며, 그에 따라 최종 플라즈마 조성에강한 영향을 미친다. 자장은 또한, 합당하게(reasonably) 낮은 DC 바이어스의 희생 없이도, 높은 산화물 에칭 속도를 위한 높은 RF 전력을 이용하여 프로세스를 운용할 수 있게 한다. 증대된 자장은 받침에서의 DC 자체-바이어스를 감소시키고, 그에 따라 이온 충격 에너지를 줄이는 효과를 가진다. 이러한 효과는 복잡하며, 자장 및 전기장의 상호작용을 포함한다. 기본적으로, 자장은 플라즈마를 보다 밀접하게 한정하며 그 밀도를 증가시킨다. 높은 플라즈마 밀도는 정해진 입력 전력에 대한 플라즈마의 동등한 전기 회로에서 보다 많은 전류를 인가하며, 그에 따라 외장 전압을 감소시킨다. 즉, 높은 플라즈마 밀도는 자체-바이어스 전압을 감소시킨다. 감소된 DC 바이어스는 높은 에너지의 이온 충격에 의해 촉진되는 포토레지스트 모서리의 손실을 감소시키고 그에 따라 모서리면에 대한 포토레지스트 선택도를 증가시킨다.
한편, 바이어의 바닥 근방의 자장을 감소시키는 것은 그 위치에서 이방성 에칭을 보다 많이 생성한다는 점에서 이롭다고 생각한다. 깊고 좁은 바이어스는 이온 충격의 부족으로 인해 바닥을 향해 내측으로 경사지는 경향이 있고 그에 따라 측벽 폴리머의 두꺼운 축적이 초래된다. 작은 자장 또는 높은 DC 바이어스로부터의 이온 충격이 보다 강할 수록 접촉 홀의 바닥부를 보다 수직으로 만들 수 있다. 작은 자장은 또한 비균질의 순간적인 자장에 의해 유도되는 전자-대전 손상을 줄일 수 있다.
영구자석 보다 전자기 코일에 의해 유도되는 자장에 의해 제공되는 가변 자장은 분리 정도를 제어함으로써 플라즈마 조성을 제어하는 효과적인 프로세스 조정노브로서 사용되는 자장 강도를 우수하게 조절할 수 있게 한다. 모든 프로세스 파라미터를 넓은 범위로 조정할 수 있는 것은, 높은 종횡비 접촉 및 바이어 에칭 그리고 기타 여러 가지 특별한 용도에 따른 플라즈마 조성에서 플라즈마 밀도를 109내지 1011/cm3으로 유지함으로써 얻어진다. 예를 들어, 높은 자장은, 높은 산화물 에칭 속도 및 높은 포토레지스트 선택도를 유지하기 위해, 바이어 홀 에칭의 시작부분에서 사용될 수 있다. 그 후, 홀 바닥의 수직 프로파일 또는 보다 양호한 바닥 CD 을 얻기 위해 그리고 논리적인 장치 프로세스를 위한 낮은 전자 대전 손상을 얻기 위해, 자장 강도는 감소되고 또는 프로세스의 마지막 단계에서는 완전히 제거(turn off)될 수 있다.
에칭 가스가 플라즈마 에칭 챔버 내에서 잔류하는 시간은 플라즈마 조성을 제어하기 위한 추가적인 프로세스 노브이다. 최적화된 잔류 시간 범위는 의도하는 플라즈마 조성을 얻는데 중요하다고 생각된다. 또한 플라즈마내에서의 에칭 가스 잔류 시간이 짧은 것이 바람직하다고 생각한다. C4F6와 같은 수소가 없는 중풀루오르화탄소 분자가 플라즈마내로 들어 갈 때, 그 분자는 점차적으로 보다 작게 분열된다. 잔류 시간이 짧으면, 풀루오르화탄소 라디칼 CFx *이 많은 비율로 존재하지만, 잔류 시간이 길면, 분리된 불소 라디칼 F*이 많은 비율로 존재하게 된다. 과다한 양의 불소 라디칼은 포토레지스트를 공격하는 반면, 같은 양의 풀루오르화탄소 라디칼은 포토레지스트에 대한 약간의 폴리머화 보호를 제공한다. 잔류시간(τRES)은
τRES∝ PV/F
로 대략적으로 나타낼 수 있으며, 이때 P 는 챔버 압력, V 는 챔버 체적, 그리고 F 는 전체 반응제 유동을 나타낸다. 잔류 시간을 감소시키는 것은 진공 시스템의 펌핑 용량을 증가시키는 것을 필요로 한다. 아르곤과 같은 불활성 캐리어 가스의 큰 유동이 잔류 시간 조절에 사용될 수 있다. 예를 들어 반응 가스의 10 배 이상인 불활성 캐리어 가스의 큰 유동이 큰 프로세스 윈도우(window)에서 폴리머 제어를 용이하게 하며, 가장 중요하게는 폴리머 증착의 위치를 제어하고 상부 포토레지스트 표면 및 수직 산화물 구성부의 측벽상에 정확한 균형된 양의 폴리머가 오도록 하는 것을 돕는다.
전술한 개념을 기초로, 본 발명은 이러한 낮은 F/C-비 가스의 고유의 이점을 이용하고, 적절한 플라즈마 밀도, 조절가능한 자기장, 및 플라즈마 조성을 조정하기 위해 진보된 MERIE 챔버에 의해 제공되는 짧은 잔류 시간을 포함하는 우수한 작업 조건을 조합하여, 그에 따라 여러 가지 중요한 유전체 에칭 용도에 대해 바람직한 폴리머화를 수득한다.
최적화된 프로세스 조건으로 높은 종횡비(HAR)의 홀을 에칭하기 위해, 이러한 낮은 F/C-비 가스는 플라즈마 저항이 현저하여 포토레지스트가 너무 빨리 에칭되는 것을 방지하는 폴리머 코딩을 생성하는 플라즈마를 제공한다. 독특한 플라즈마 조성은 또한 폴리머 증착을 생성하지 않는 조건하에서 낮은 포토레지스트 에칭속도를 제공한다. CxFy/O2비를 조절함으로써 얻어지는 플라즈마 조성의 조절성, 및 풀루오르화탄소에 의한 폴리머 형성이 산소에 의한 폴리머 제거에 의해 균형을 이룸에 따른 폴리머화 정도는, 프로파일을 보다 양호하게 제어할 수 있게 하고 챔버 세척 간격 특히, 습식 세척 사이의 평균 웨이퍼들(mean wafers between wet clean; MWBWC)을 증대시킨다. 또한, 플라즈마는 보다 적은 자유 불소를 함유하고, 이는 에칭 프로세스가 에칭되는 필름의 정확한 조성에 보다 덜 민감하게 한다. 따라서, 도핑된 그리고 도핑되지 않은 유전체 필름 간의 조정이 보다 덜 필요하게 된다.
극히 높은 포토레지스트 선택도가 요구될 때, 불소가 COF 로서 제거됨에 따라 보다 높은 탄소 농도를 가지는 플라즈마를 생성하기 위해 그리고 그에 따라 보다 높은 정도의 폴리머화를 달성하기 위해, 일산화탄소가 가스 첨가제로서 포함될 수도 있다. 산소가 플라즈마내에서 수행하는 중요한 역할로 인해, 산화물 대 질화물의 높은 에칭 선택도는 산화물 필름으로부터 국부적으로 제공되는 산소의 이용을 기초로 달성될 수 있다.
낮은 DC 바이어스는 35 또는 50 가우스 이상의 자장의 존재하에서 이용될 수 있다. 낮은-바이어스 프로세스에서 이러한 낮은 이온 충격 에너지는 후-에칭(post-etch) 포토레지스트의 모서리 면에서 측정된 높은 포토레지스트 선택도에 기여한다. 에칭 프로세스의 마지막 단계에서 이러한 자기장의 변화 역시 바닥 CD 제어에 대한 노브이다. 최적화된 압력 및 유동 역시 바닥 CD 제어를 개선한다. 프로세서의 압력 및 가스 유동을 최적화함으로써, 플라즈마 조성, 특히 x 가1, 2 또는 3 인 풀루오르메탄 라디칼 CFx *농도는 에칭 프로파일 제어 특히 바닥 CD 에 유리한 최적화된 라디칼 비를 얻을 수 있도록 조절될 수 있다. 최적화된 압력 및 유동은 또한 마이크로-로딩(micro-loading) 성능을 개선한다. 일반적인 그리고 역전된 마이크로-로딩은 유동 및 압력을 적절히 조정하였을 때 얻어지며, 그 두가지는 최소 마이크로-로딩 지점을 얻도록 서로 조절될 수 있다. 그러한 조정은 높은 RF 전력이 큰 프로세스 윈도우를 가지는 프로세스에서 사용될 수 있게 한다. 낮은 F/C-비 가스의 분리 패턴은 인가된 RF 전력에 비교적 독립적이다. 이에 따라, 다른 웨이퍼 프로세싱 관점에서 최소의 충격으로 높은 RF 전력을 사용할 수 있다. 높은 전력은 높은 에칭 속도를 유발하며, 그에 따라 포토레지스트 선택도의 최소한의 희생으로 웨이퍼의 높은 생산성을 낳는다.
이러한 연구 결과를 기초로, 산화물내에 높은 종횡비 접촉 홀을 에칭하는 방식이 개발되었으며 이를 표 1에 요약 기재하였다.
C4F6유동(sccm) 30
O2유동(sccm) 18
Ar 유동(sccm) 700
바이어스 전력(W) 2000
자기장 (G) 100
압력 (mT) 40
음극 온도 (℃) -20
후면 He 압력 (T) 20
시간 (s) 220
이러한 방식의 중요한 특징은 중 풀루오르화탄소(C4F6)의 가스 유동에 대한 산소의 가스 유동 비율에 의해 특징지어 진다. 그 비율은 에칭되는 산화물 필름의조성에 의해 달라질 수 있으며, 여러 가지 상이한 형태의 접촉 홀을 위해 상이한 산소 유동 속도를 가지는 둘 이상의 단계를 이용할 수도 있다. 예를 들어, 에칭의 진행에 따라 유효 종횡비가 변화됨으로써, 산호 분율이 증가할 수 있다. 이러한 방식의 다른 중요한 인자는 C4F6의 유동에 대한 불활성 희석 가스 아르곤의 유동이다. 중풀루오르화탄소의 양 보다 10 배 이상인, 바람직하게 20 배 이상인 양의 아르곤이 에칭 정지 마진을 증가시키는 것을 도울 것이다. 중풀루오르화탄소의 100 배 이상의 아르곤은 불필요한 것으로 보인다.
2.0 ㎛ 두께의 BPSG 산화물 층내에서 0.17 ㎛ 폭을 가지는 즉, 12:1 의 종횡비를 가지는 높은 종횡비 홀에 대해 이러한 방식을 사용하였다. 이러한 방식은 0.75 ㎛/분의 BPSG 에칭 속도 및 10:1 이상의 포토레지스트 선택도를 나타낸다. 포토레지스트 선택도는, (1)에칭된 산화물 두께에 초과-에칭 시간에 상응하는 거리를 더한 것의 (2)최초의 포토레지스트 표면(이 경우, 0.6 ㎛)으로부터 포토레지스트 모서리 면의 하부 모서리(이 경우, 0.28 ㎛)의 깊이에 대한 비율로서 측정된다. 측벽의 경사각도에 의해 측정된 홀의 프로파일은 0.14 ㎛ 의 결과적인 바닥 CD 에서 89.5°이었다.
0.82 ㎛ TEOS 산화물에서 0.1 ㎛ 폭의 포토 마스킹 즉, 8:1 의 종횡비를 가지는 좁은 바이어 홀을 생성하기 위한 유사한 방식이 사용되었다. 그 방식을 표 2 에 요약 기재하였다.
C4F6유동(sccm) 30
O2유동(sccm) 23
Ar 유동(sccm) 700
바이어스 전력(W) 2000
자기장 (G) 100
압력 (mT) 40
음극 온도 (℃) -20
후면 He 압력 (T) 20
시간 (s) 120
이러한 방식은 전술한 경우와 필름 조성이 다르기 때문에 보다 많은 산소를 사용하였다. 홀 크기가 작고 그리고 TEOS 필름이 BPSG 필름보다 에칭하기가 곤란하기 때문에, 이 경우의 에칭 속도는 상대적으로 작다. 비교적 작은 에칭 속도는 또한 ~5:1 의 비교적 작은 포토레지스트 선택도를 유도한다. 따라서, 그 방식은 매우 작은 구성부 크기, 그리고 작은 값의 산화물 에칭 속도 및 포토레지스트 선택도의 경우에 적용될 수 있다.
대개 종횡비가 상대적으로 크고(7:1 내지 8:1 또는 그 이상) 수평방향 구성부 크기가 상대적으로 큰(0.35 ㎛ 또는 그 이상) 몇몇 경우에, 홀 프로파일을 보다 잘 제어하기 위해서는 둘 이상의 상기 방식의 단계가 필요할 것이다. 하나의 예를 표 3 에 기재하였다.
단계 1 단계 2
C4F6유동(sccm) 28 28
O2유동(sccm) 24 20
Ar 유동(sccm) 500 500
바이어스 전력(W) 1800 1800
자기장 (G) 100 50
압력 (mT) 40 40
음극 온도 (℃) -20 -20
후면 He 압력 (T) 20 20
시간 (s) 180 120
제 2 단계에서의 산소 유동은 제 1 단계에서의 산소 유동 보다 작다. 이러한 산소 유동의 감소는 홀이 깊어졌을 때 측벽 보호를 강화하는데 필요한 높은 폴리머화를 생성하며, 그에 따라 홀의 휘어진 프로파일을 감소시킨다. 산소 유동이 감소된 단계로 인해, 전체적인 포토레지스트 선택도의 과도한 희생 없이도 제 1 단계내의 산소 유동은 단일-단계 방식에서 사용된 것으로부터 약간 증가될 수 있다. 고-산소 제 1 단계는 또한 접촉 홀의 목부분(포토레지스트/산화물 계면의 바로 아래 부분)에 폴리머가 축적되는 것을 감소시키고, 그에 따라 프로파일 제어를 보다 돕는다. 결과적으로, 이러한 두-단계 방식은 단일-단계 에칭 방식 보다 정교한 프로파일을 달성할 수 있다. 특별한 경우에, 이러한 방식은 2.8 ㎛ 두께의 TEOS 필름에 0.35 ㎛-지름의 접촉 홀을 에칭하는데 사용된다. 약 5:1 의 포토레지스트 선택도와 함께 0.65 내지 0.7 ㎛/분의 전체적인 에칭 속도가 얻어진다. 98.4°의 측벽 프로파일 각도와 함께 0.29 ㎛ 의 바닥 CD 가 얻어진다.
표 3 에 기재된 방식의 제 2 단계는 또한 낮은 자기장에 의해서 제 1 단계와 구별된다. 전술한 바와 같이, 이것은 웨이퍼에 가해지는 유효 DC 바이어스를 증가시킴으로써 바닥 CD를 증가시키는 것(보다 적은 경사)을 도우며, 그에 따라 홀의 바닥에서의 이온 충격 에너지를 증가시킨다. 이러한 특정 방식은 또한 접촉 홀의 바닥에서 실리콘 기판과 산화물 필름 사이에 실리콘 질화물이 형성된 웨이퍼에 대해 적용되었다. 20% 이상의 과다 에칭 후에도 가시적인 질화물 손실을 관찰할 수 없었으며, 이것은 이러한 방식의 우수한 질화물 선택도를 나타낸다.
전술한 방식들 모두는 유사하게 특징지워지는 에칭 가스 조성을 가진다. 산소의 양은 헥사풀루오르부타딘의 양과 대략적으로 같거나 또는 그 보다 약간 작다.기타 조건에 따라, 0.4:1 내지 2:1, 보다 바람직하게는 0.5:1 내지 1.2:1 의 O2-대-C4F6비는 여러 가지 이로운 동일 효과를 생성할 것이다. 헥사풀루오르부타딘의 유동에 대한 아르곤의 유동이 10 배 이상 그리고 바람직하게는 20 배 이상 크다. 비록 몇몇 경우에 CO 를 첨가할 수도 있지만, 바람직하게도 그러한 첨가는 본 구성에서 필요하지 않다.
전술한 최초의 3 가지 방식의 이전에 개발된 일반적인 방식을 기초로 체계적인 연구를 실시하였다. 그러한 일반적인 방식을 표 4 에 요약하여 기재하였다. 전체적인 방향 및 범위는 매우 유사할 것이다.
C4F6유동(sccm) 23
O2유동(sccm) 18
Ar 유동(sccm) 500
바이어스 전력(W) 1800
자기장 (G) 100
압력 (mT) 40
음극 온도 (℃) -20
후면 He 압력 (T) 20
시간 (s) 240
여러 가지 프로세스 파라미터에 따라, 산화물 에칭 속도 및 포토레지스트 선택도 모두가 서로 반대되는 경향을 나타낸다. 몇몇 변화는 거의 영향을 미치지 않는 반면 기타 변화는 상당한 영향을 나타내며, 또 일부 변화는 테스트 범위의 중간에서 최적 값을 가진다.
잔류 시간에 대한 산화물 에칭 속도 및 포토레지스트 선택도의 의존성을 도 4 에 그래프로 도시하였다. 이러한 데이터 및 전술한 방식에 대해, 챔버 체적은 25 리터이고 플라즈마 체적은 10.6 리터로 추정되며, 진공 펌핑 속도는 약 1300 리터/초 이다. 산화물 에칭 속도는 선(104)로, 그리고 포토레지스트 선택도는 선(106)으로 나타냈다. 비록 40 ms 이하의 잔류시간에서는 에칭 속도가 작을 것으로 보이지만, 약 37 내지 100 ms 의 잔류시간에서 에칭 속도는 크게 변화되지 않는다. 그러나, 포토레지스트 선택도는 37 ms 의 잔류시간에서의 10:1 로부터 61 ms 의 잔류시간에서의 약 8.5:1 로 감소한다. 그 이후의 잔류시간에서는 포토레지스트 선택도가 약간만 감소한다. 이러한 결과는 70 ms 이하의 잔류시간에서는 양호한 포토레지스트 선택도가 제공된다는 것을 보여준다. 50 ms 이하의 잔류시간에서는 보다 양호하다. 그러나, 주로 잔류시간 설정 점(set point)을 성취하기 위해 사용된 압력 보다 강하된 압력에 기인한 DC 바이어스 증가로 인해, 30 ms 이하로 잔류시간을 줄이면 포토레지스트 선택도가 억제된다.
자기장 강도에 대한 산화물 에칭 속도 및 포토레지스트 선택도의 의존성을 도 5 에 그래프로 도시하였으며, 이 때 선(108)은 산화물 에칭 속도의 의존성을 그리고 선(110)은 포토레지스트 선택도의 의존성을 나타낸다. 에칭 속도는 50 가우스 이상에서 상당히 증가한다. 포토레지스트 선택도는 자기장에 거의 비례하여 증가되며, 50 가우스 이상의 값이 바람직하다. 에칭 속도 증가의 가속은 자기장의 증대에 의한 플라즈마 밀도의 증가에 기인한 것으로 생각되는 반면, 포토레지스트 선택도의 증가는 보다 큰 자기장으로부터 유발된 낮은 DC 바이어스에 기인한 것으로 생각된다. 이러한 결과는 35 가우스의 최소 자기장으로 어느 정도 일반화될 질 수 있다.
RF 전력의 레벨에 대한 의존성은 도 6 에 그래프로 도시하였다. 산화물 에칭 속도에 대한 결과는 선(112)으로 도시하였고, 포토레지스트 선택도에 대한 결과는 선(114)로 나타냈다. 예상되는 바와 같이, 산화물 에칭 속도는 RF 전력에 따라 증가된다. 그러나, 포토레지스트 선택도는 약 1800 W 에서 정점을 이룬다. 이것은, RF 전력이 플라즈마 밀도 및 DC 바이어스 모두에 양성적인(positive) 효과를 미치고 이는 다시 산화물 및 포토레지스트 모두의 에칭속도를 증가시키기 때문이다. 또한, 이러한 효과는 RF 전력이 계속 증가될 때 산화물에 대해서는 감소되나 포토레지스트에 대해서는 증가된다. 이러한 정점은 예를 들어 에칭되는 필름이 다른 경우 약간 변화될 것이며, 200 mm 직경의 웨이퍼에서 포토레지스트 선택도를 최대화하기 위한 바람직한 RF 전력 범위는 1650 내지 2100 W 가 된다. 일반적으로 전력은 웨이퍼의 면적에 비례한다.
챔버 압력 변화에 따른 결과를 도 7 에 그래프로 도시하였다. 산화물 에칭 속도는 도 7 의 그래프에서 선(116)으로 나타냈고, 포토레지스트 선택도는 선(118)으로 나타냈다. 산화물 에칭 속도는 25 밀리토르(mT)에서 약 0.7 ㎛/분이고 70 밀리토르에서 약 0.62 ㎛/분인 반면, 포토레지스트 선택도는 동일한 압력에서 약 9.3 으로부터 8로 감소하였다. 명백하게, 낮은 압력에서의 작업은 산화물 에칭 속도 및 포토레지스트 선택도 모두에 바람직하다. 마찬가지로 약간의 변화가 있을 수 있다. 비록 실험 범위에서 압력 하한치가 나타나 있지 않지만, 높은 산화물 에칭 속도를 위해서는 챔버 압력이 40 밀리토르 이하이어야 한다. 높은 포토레지스트 선택도를 위해서는 챔버 압력이 역시 40 밀리토르 이하이어야 하며, 포토레지스트 선택도가 강하되는 측정되지 않은 압력 하한치가 존재한다. 전술한 바와 같이, 챔버 압력이 낮으면 잔류 시간들은 감소된다. 챔버 압력의 하한치는, 본 경우와 같이 에칭제 유동이 상대적으로 큰, 진공 시스템의 펌핑 속도에 의해 결정된다.
유사하게 양호한 결과를 얻기 위해 풀루오르화탄소로서 C4H8을 기초로한 MERIE 반응기에서 에칭 방식을 최적화하기 위한 시도가 있어 왔다. 이러한 노력은 부분적으로만 성공하였다. 가장 우수한 방식은 CO 사용을 필요로 한다. C4H8로 얻어지는 산화물 에칭 속도는 C4H6로 얻어진 것 만큼 우수하다. 그러나, 특히 모서리 면에서의 포토레지스트 선택도는 상당히 좋지 못하다. 이러한 이유로, 특히 포토레지스트 선택도가 중요한 높은 종횡비 홀의 경우에, 용량 결합 반응기에서 사용하기에는 C4H6가 보다 양호한 풀루오르화탄소라 믿어진다.
C4H8에 비해 C4H6에 의해 얻어지는 유리한 결과는 그 C4H6가 보다 탄소가 풍부한 폴리머를 생산하는 것에서 비롯된다고 생각된다. C4H8의 F/C 비가 2.0 인데 비해 C4H6의 F/C 비는 1.5 이기 때문에 잉여 탄소는 유용하다. 기타 수소가 없는 풀루오르화탄소는 유사하게 바람직한 F/C 비를 가지며, 대부분의 유용한 풀루오르화탄소는 적어도 4 개의 탄소 원자를 가진다. 예를 들어, 헥사풀루오르화시클로부탄 또는 퍼풀루오르부틴과 같은 C4H6의 몇가지 이성질체가 있다. 비록 전술한 방식에서는 제시되지 않았지만, F/C 비가 각각 1.6 및 1.0 인 옥타풀루오르펜타딘(C5H8) 및 퍼풀루오르벤젠(C6H6)가 산화물 에칭을 위해 제안되어있다. 만약 낮은 F/C 비가 얻어진다면, 강력하게 폴리머화되는 하나의 수소 원자를 중풀루오르화탄소에 첨가하더라도 영향이 작을 것이다. 탄소가 많은 폴리머는 질화물 선택도 및 측벽 보호에 유리하고, 특히 포토레지스트 선택도에도 유리하다. 탄소가 많은 폴리머는 포토레지스트를 모사(模寫)하며, 포토레지스트 해상도의 손실 없이 포토레지스트를 효과적으로 두껍게 하는 결과를 낳는다. 포토레지스트의 모서리 면이 여전히 발생하나, 포토레지스트와 폴리머의 조합된 두께로 인해, 포토레지스트 선택도가 효과적으로 증대된다.
전술한 방식들 모두는 화학적인 불활성 희석 가스로서 아르곤을 이용하였다. 그 대신에 제논을 사용하면 보다 나은 결과가 얻어질 것이다. 그러나, 제논은 고가의 가스이고 공급이 달린다. 따라서, 만족할 만한 방식을 이용할 수 있다면 아르곤이 바람직하다. 보다 덜 엄격한 상부 레벨(바이어) 에칭에 아르곤을 이용하고, 제논은 예를 들어 제 1 레벨(접촉부) 중간 레벨 에칭과 같이 가장 중요한 용도에 이용할 수도 있다.
비록 전술한 설명은 높은 포토레지스트 선택도와 관련하여 본 방법의 이점을 강조하였지만, 그러한 방법은, 예를 들어 질화물이나 기타 경질 마스크가 산화물상에 놓이고 그 질화물이나 기타 경질 마스크가 산화물 에칭에 사용된 것과 상이한 에칭 방식으로 포토리소그래프적으로 패턴화되는 경우와 같이, 상기 포토레지스트 선택도를 필요로 하지 않는 용도에서도 유용하다.

Claims (19)

  1. 포토레지스트에 대한 선택도가 증가된 산화물 층 에칭 방법으로서:
    에칭될 산화물 층을 가지는 기판을 지지하는 받침 전극을 구비한 자기적으로 강화된 플라즈마 에칭 반응기 챔버를 제공하는 단계;
    (a)4개 이상의 탄소 원자를 가지고 F/C 비가 2 이하인 풀루오르화탄소, (b)산소, 및 (c)아르곤 및 제논으로 이루어진 그룹에서 선택된 화학적 불활성 캐리어 가스를 포함하는 에칭 가스 혼합물을 상기 챔버내로 유동시키는 단계;
    상기 받침 전극에 실질적으로 평행하고 35 가우스 이상의 자기장 강도를 가지는 자기장을 인가하는 단계; 및
    상기 에칭 가스 혼합물을 플라즈마로 여기시키기 위해 상기 받침 전극에 RF 전력을 가하여, 상기 산화물 층 대 포토레지스트 모서리 면의 에칭 선택도가 5:1 이상인 상태에서 상기 산화물 층 상에 놓여진 포토레지스트를 포함하는 패턴화된 포토마스크에 의해 한정된 산화물 층내로 홀을 에칭하는, 전력 인가 단계를 포함하는 에칭 방법.
  2. 제 1 항에 있어서, 제 1 단계에서 상기 자기장이 50 가우스 이상의 제 1 자기장 강도로 인가되고 제 2 단계에서 상기 자기장이 상기 제 1 자기장 강도 보다 작은 제 2 자기장 강도로 인가되는 에칭 방법.
  3. 제 1 항에 있어서, 상기 풀루오르화탄소는 수소를 포함하지 않으며, 상기 산소 유동 대 풀루오르화탄소 유동의 제 1 비율은 0.4:1 내지 2:1 인 에칭 방법.
  4. 제 3 항에 있어서, 상기 풀루오르화탄소는 1.6 이하의 F/C 비를 가지는 에칭 방법.
  5. 제 4 항에 있어서, 상기 풀루오르화탄소는 C4F6를 포함하는 에칭 방법.
  6. 제 4 항에 있어서, 상기 캐리어 가스 유동 대 상기 풀루오르화탄소 유동의 제 2 비율이 10 이상인 에칭 방법.
  7. 제 1 항에 있어서, 상기 에칭 가스 혼합물의 잔류 시간을 70 밀리초 이하로 유지하기 위해 상기 챔버를 진공 펌핑하는 단계를 추가로 구비하는 에칭 방법.
  8. 제 1 항에 있어서, 상기 에칭 가스 혼합물은 본질적으로 일산화탄소를 포함하지 않는 에칭 방법.
  9. 제 1 항에 있어서, 상기 에칭 가스 혼합물이 일산화탄소를 부가적으로 포함하는 에칭 방법.
  10. 산화물 층내에 홀을 에칭하는 방법으로서:
    자기적으로 강화된 반응성 이온 플라즈마 에칭 반응기를 제공하는 단계;
    제 1 분량의 C4F6, 제 2 분량의 O2, 및 제 3 분량의 아르곤을 포함하는 에칭 가스 혼합물로서 이때 제 1 분량 대 제 2 분량의 제 1 비율이 0.4:1 내지 2:1 이고 제 3 분량 대 제 1 분량의 제 2 비율이 10 이상인 가스 혼합물을 상기 반응기내로 유동시키는 단계;
    상기 반응기에 자기장을 가하는 단계; 및
    상기 산화물 층을 에칭하기 위해 상기 에칭 가스 혼합물이 플라즈마로 여기되도록 상기 산화물 층을 포함하는 기판을 지지하는 받침 전극에 RF 전력을 가하는 단계를 포함하는 에칭 방법.
  11. 제 10 항에 있어서, 상기 제 1 비율이 0.5:1 내지 1.2:1 인 에칭 방법.
  12. 제 11 항에 있어서, 상기 제 2 비율이 20 이상인 에칭 방법.
  13. 제 10 항에 있어서, 적어도 40 밀리토르의 압력까지 상기 반응기를 진공 펌핑하는 단계를 추가로 포함하는 에칭 방법.
  14. 제 12 항에 있어서, 상기 진공 펌핑 단계는 상기 에칭 가스 혼합물의 상기 반응기내 잔류 시간을 70 밀리초 이하로 유지하는 에칭 방법.
  15. 제 10 항에 있어서, 상기 자기장은 35 가우스 이상의 레벨로 인가되는 에칭 방법.
  16. 제 10 항에 있어서, 상기 에칭 가스 혼합물은 본질적으로 일산화탄소를 포함하지 않는 에칭 방법.
  17. 제 10 항에 있어서, 상기 RF 전력은 200 mm 지름 웨이퍼에 표준화된 1650 내지 2100 W 의 양으로 인가되는 에칭 방법.
  18. 제 10 항에 있어서, 상기 자기장을 가하는 단계는 제 1 단계에서 50 가우스 이상의 레벨로 자기장을 가하고 제 2 단계에서 50 가우스 미만의 레벨로 자기장을 가하는 에칭 방법.
  19. 제 10 항에 있어서, 상기 산화물 층은 포토레지스트 층으로 패턴화되고, 상기 플라즈마는 상기 산화물 층 대 포토레지스트 모서리 면이 5:1 이상이 되도록 선택적으로 상기 산화물 층을 에칭하는 에칭 방법.
KR1020027005520A 2000-03-10 2001-03-09 중플루오르화탄소 에칭 가스를 이용한 자기 강화 플라즈마 에칭 방법 KR100756704B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/522,374 US6451703B1 (en) 2000-03-10 2000-03-10 Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US09/522,374 2000-03-10

Publications (2)

Publication Number Publication Date
KR20040007210A true KR20040007210A (ko) 2004-01-24
KR100756704B1 KR100756704B1 (ko) 2007-09-07

Family

ID=24080606

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027005520A KR100756704B1 (ko) 2000-03-10 2001-03-09 중플루오르화탄소 에칭 가스를 이용한 자기 강화 플라즈마 에칭 방법

Country Status (5)

Country Link
US (2) US6451703B1 (ko)
JP (1) JP2004512668A (ko)
KR (1) KR100756704B1 (ko)
TW (1) TW538476B (ko)
WO (1) WO2001068939A2 (ko)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
WO2001086701A2 (en) 2000-05-12 2001-11-15 Tokyo Electron Limited Method of high selectivity sac etching
JP4566373B2 (ja) * 2000-09-21 2010-10-20 東京エレクトロン株式会社 酸化膜エッチング方法
US6756315B1 (en) * 2000-09-29 2004-06-29 Cypress Semiconductor Corporation Method of forming contact openings
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
KR100782632B1 (ko) * 2000-12-21 2007-12-06 동경 엘렉트론 주식회사 절연막의 에칭 방법
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
JP4009087B2 (ja) 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US7033514B2 (en) * 2001-08-27 2006-04-25 Micron Technology, Inc. Method and apparatus for micromachining using a magnetic field and plasma etching
US6787475B2 (en) * 2001-09-06 2004-09-07 Zhuxu Wang Flash step preparatory to dielectric etch
KR20040066170A (ko) * 2001-12-13 2004-07-23 어플라이드 머티어리얼스, 인코포레이티드 질화물 숄더에 대해 높은 민감도를 갖는 자기 정렬 콘택에칭
US20030118948A1 (en) * 2001-12-21 2003-06-26 Rohit Grover Method of etching semiconductor material to achieve structure suitable for optics
US6699795B1 (en) * 2002-03-15 2004-03-02 Cypress Semiconductor Corp. Gate etch process
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7049034B2 (en) * 2003-09-09 2006-05-23 Photronics, Inc. Photomask having an internal substantially transparent etch stop layer
JP4071069B2 (ja) * 2002-08-28 2008-04-02 東京エレクトロン株式会社 絶縁膜のエッチング方法
DE10246063A1 (de) * 2002-10-02 2004-04-22 Robert Bosch Gmbh Verfahren zum anisotropen Ätzen eines Siliziumsubstrates
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6896775B2 (en) * 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
US6853142B2 (en) * 2002-11-04 2005-02-08 Zond, Inc. Methods and apparatus for generating high-density plasma
US7041230B2 (en) * 2003-01-21 2006-05-09 Lam Research Corporation Method for selectively etching organosilicate glass with respect to a doped silicon carbide
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US20040206213A1 (en) * 2003-04-18 2004-10-21 Chih-Ching Hsien Wrench having a holding structure
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US6972258B2 (en) * 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
US20050103267A1 (en) 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US7297628B2 (en) * 2003-11-19 2007-11-20 Promos Technologies, Inc. Dynamically controllable reduction of vertical contact diameter through adjustment of etch mask stack for dielectric etch
JP3998003B2 (ja) * 2004-04-23 2007-10-24 ソニー株式会社 プラズマエッチング法
US20050241764A1 (en) * 2004-05-03 2005-11-03 Letson Thomas A Baffle to reduce azimuthal etch asymmetry
JPWO2005117082A1 (ja) * 2004-05-31 2008-04-03 独立行政法人産業技術総合研究所 ドライエッチングガスおよびドライエッチング方法
US8206075B2 (en) * 2004-06-02 2012-06-26 Applied Materials, Inc. Methods and apparatus for sealing a chamber
US8648977B2 (en) 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US7915175B1 (en) 2004-06-25 2011-03-29 Cypress Semiconductor Corporation Etching nitride and anti-reflective coating
US7316785B2 (en) * 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20060051681A1 (en) * 2004-09-08 2006-03-09 Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut Method of repairing a photomask having an internal etch stop layer
US7205244B2 (en) 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7547504B2 (en) 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
JP2006156992A (ja) * 2004-11-05 2006-06-15 Tokyo Electron Ltd プラズマ処理方法
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
JP2007013081A (ja) * 2005-06-30 2007-01-18 Hynix Semiconductor Inc 深いコンタクトホールを有する半導体素子の製造方法
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US8399360B1 (en) 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
US7910489B2 (en) 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US20070197040A1 (en) * 2006-02-23 2007-08-23 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
US7828987B2 (en) * 2006-03-20 2010-11-09 Applied Materials, Inc. Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
KR100752189B1 (ko) * 2006-08-07 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
KR100792405B1 (ko) * 2007-01-03 2008-01-09 주식회사 하이닉스반도체 벌브형 리세스 패턴의 제조 방법
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
US20130122712A1 (en) * 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
US9514959B2 (en) * 2012-10-30 2016-12-06 American Air Liquide, Inc. Fluorocarbon molecules for high aspect ratio oxide etch
US9165785B2 (en) * 2013-03-29 2015-10-20 Tokyo Electron Limited Reducing bowing bias in etching an oxide layer
US9748366B2 (en) 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP2016207788A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 上部電極の表面処理方法、プラズマ処理装置及び上部電極
US20180269273A1 (en) * 2017-03-20 2018-09-20 Globalfoundries Inc. Interconnect structures for a metal-insulator-metal capacitor
EP3665531B1 (en) 2017-09-13 2023-12-13 LG Chem, Ltd. Preparation method of patterned substrate
US11487058B2 (en) 2020-08-13 2022-11-01 Applied Materials, Inc. Method for manufacturing optical device structures

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57108267A (en) 1980-12-26 1982-07-06 Showa Denko Kk Etching method
JPS57155732A (en) 1981-03-20 1982-09-25 Sharp Corp Dry etching
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
JPH0485928A (ja) * 1990-07-30 1992-03-18 Sony Corp ドライエッチング方法
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
JP3154128B2 (ja) * 1991-05-24 2001-04-09 ソニー株式会社 ドライエッチング方法
JP3252518B2 (ja) 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
JPH06275567A (ja) * 1993-03-19 1994-09-30 Tokyo Electron Yamanashi Kk プラズマ装置
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JPH0831802A (ja) * 1994-07-18 1996-02-02 Hitachi Ltd エッチング方法及びエッチング装置
JP3399154B2 (ja) * 1995-05-22 2003-04-21 ソニー株式会社 積層絶縁膜のプラズマエッチング方法
JPH09191002A (ja) * 1996-01-10 1997-07-22 Sony Corp プラズマエッチング方法
JP3283477B2 (ja) * 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
JP3408409B2 (ja) * 1997-10-29 2003-05-19 松下電器産業株式会社 半導体装置の製造方法およびドライエッチング装置の反応室環境制御方法
US6159862A (en) * 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
JP3905232B2 (ja) * 1997-12-27 2007-04-18 東京エレクトロン株式会社 エッチング方法
JP3973283B2 (ja) * 1998-01-19 2007-09-12 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window

Also Published As

Publication number Publication date
WO2001068939A3 (en) 2002-05-30
WO2001068939A2 (en) 2001-09-20
US20020173162A1 (en) 2002-11-21
US6613689B2 (en) 2003-09-02
TW538476B (en) 2003-06-21
KR100756704B1 (ko) 2007-09-07
JP2004512668A (ja) 2004-04-22
US6451703B1 (en) 2002-09-17

Similar Documents

Publication Publication Date Title
KR100756704B1 (ko) 중플루오르화탄소 에칭 가스를 이용한 자기 강화 플라즈마 에칭 방법
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR100430046B1 (ko) 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
KR100849707B1 (ko) 탄소-도우핑된 저유전체들의 선택적 식각
JP5265100B2 (ja) 炭素系ハードマスクを開く方法
US6387819B1 (en) Method for etching low K dielectric layers
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6670278B2 (en) Method of plasma etching of silicon carbide
US6380096B2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
US6617257B2 (en) Method of plasma etching organic antireflective coating
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
US6297163B1 (en) Method of plasma etching dielectric materials
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
WO1999033097A1 (en) Improved techniques for etching an oxide layer
KR20030087637A (ko) 유기계 절연막의 에칭 방법 및 이중 상감 방법
US6784111B2 (en) Etching methods and apparatus and substrate assemblies produced therewith
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
US6787475B2 (en) Flash step preparatory to dielectric etch
TWI342045B (en) Methods of reducing photoresist distortion while etching in a plasma processing system
KR20020010592A (ko) 크세논의 첨가로 인한 규소 산화물 에칭 속도와 기판선택비의 개선 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee