KR100430046B1 - 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스 - Google Patents

헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스 Download PDF

Info

Publication number
KR100430046B1
KR100430046B1 KR10-2001-7006199A KR20017006199A KR100430046B1 KR 100430046 B1 KR100430046 B1 KR 100430046B1 KR 20017006199 A KR20017006199 A KR 20017006199A KR 100430046 B1 KR100430046 B1 KR 100430046B1
Authority
KR
South Korea
Prior art keywords
fluorinated
plasma
etching
oxide layer
etch
Prior art date
Application number
KR10-2001-7006199A
Other languages
English (en)
Other versions
KR20010080467A (ko
Inventor
호이만 레이몬드 헝
조셉 피. 카울필드
홍칭 샨
뤼핑 왕
제랄드 지. 인
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/193,056 external-priority patent/US6174451B1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010080467A publication Critical patent/KR20010080467A/ko
Application granted granted Critical
Publication of KR100430046B1 publication Critical patent/KR100430046B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

산화물 에칭 방법, 특히 실리콘 질화물과 같은 비산화물 조성을 가진 구조(24), 특별히 구조가 산화물 에칭동안 자른 면을 형성하기 쉬운 코너(26)를 가지는 경우의 구조에 대하여 선택적으로 산화물(18)을 에칭하는데 유용한 산화물 에칭 방법이다. 본 발명의 한 면은 네 개의, 수소가 비함유되고 저 F/C비율을 가진 헥사 플루오르화 부타디엔(C4F6), 옥타 플루오르화 펜타디엔(C5F8), 헥사 플루오르화 시클로 부텐(c-C4F6), 및 헥사 플르오르화 벤젠(C6F6)과 같은 플루우로화 탄소 중의 하나를 사용한다. 적어도 헥사 플루오르화 부타디엔은 끓는점이 10℃이하이고 가지고 있고 시판되고 있다. 본 발명의 또 다른 면은 10℃이하의 끓는점을 가지고 있고 시판되고 있는 펜타 플루오르화 프로필렌(C3HF5) 및 트리 프로핀(C3HF3)과 같은 불포화된 플루오르화 탄소를 사용한다. 플루오르화 탄소는 아르곤 또는 제논과 같은 희귀 가스의 상당한 양과 함께, 플라즈마 소스 전력을 챔버에 유도적으로 결합하고 웨이퍼를 지지하는 지지대 전극을 RF 바이어싱하는 반응기에서 고밀도 플라즈마로 여기된다. 바람직하게는, 두 개의 두 단계 에칭 방법의 하나가 사용된다. 첫째로, 에칭이 종료되는 무렵 소스 및 바이어스 전력이 감소한다. 둘째로, 우수한 수직 프로파일을 제공하도록 주 에칭 단계에서 플루오르화 탄소가 사용되고, 다이 플루오르화 메탄(CH2F2)과 같이 더욱 강력하게 중합된 플루오르화 탄소가 질화물 코너를 보호하도록 오버 에칭에 부가된다. 바람직하게는 큰 양의 아르곤으로도, 동일한 화학 반응이 자기적으로 강화된 반응 이온 에칭기(MERIE)에 사용될 수 있다.

Description

헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화 수소를 사용하여 산화물을 에칭하고 넓은 프로세스 윈도우를 명시하기 위한 프로세스{PROCESS FOR ETCHING OXIDE USING HEXAFLUOROBUTADIENE OR RELATED HYDROFLOUROCARBONS AND MANIFESTING A WIDE PROCESS WINDOW}
실리콘 집적 회로를 제조함에 있어, 한 칩 상의 소자(device) 수의 끊임없는 증가와 이에 수반된 최소 구조물 크기(minimum feature size)의 감소는, 때때로 어려운 위상(topology) 위로 상이한 재료 층을 증착시키는 것과 그 층들 내의 구조물(features)을 더 에칭하는 것을 포함하여 제조 공정시에 사용되는 무수한 제조 단계에 있어서 어려운 요구를 점점 더 제시하고 있다.
산화물 에칭은 가장 어려운 과제 몇가지를 제공해 왔다. 잘 알려진 바와 같이, 산화물이란 비록 다소 비양론적 조성인 SiOx등이 포함되지만 특히 이산화 실리콘과 같은 실리카에 대해 사용되는 총칭어이다. 상기 산화물이라는 용어는 또한 보로포스포실리케이트 글래스(borophosphosilicate glass:BPSG)를 포함하는 산화물 유리와 같은 밀접하게 관련된 재료도 포괄된다. 실리콘 옥시질화물(oxynitride)의 일부 유형은 질화물보다는 산화물에 더욱 가까운 것으로 여겨진다. 유전 상수를 저하시키기 위하여 작은 분율(fraction)의 플루오르(fluorine) 또는 탄소와 같은 도우펀트가 실리카에 부가될 수도 있다. 종종 집적 회로의 상이한 레벨 사이에서 산화물들이 주로 전기 절연 층으로 사용된다. 유전성 항복(dielectric breakdown)에 의해 설정되는 제한 때문에, 산화물 층의 두께는 0.5내지 1㎛ 이하로 보다 더 감소될 수는 없다. 하지만 산화물 층을 관통하는 콘택트 홀(contact holes) 및 비아 홀(via holes)의 최소 구조물 크기는 0.5㎛ 이하로 요구되며, 계획된 목표는 더욱 감소되어져서, 현재의 개발 목표는 0.18㎛가 되었다. 결과적으로, 상기 산화물에서 에칭되는 홀들은 더욱 이방적(anisotropic)이어야 하고 높은 종횡비(aspect ratio)를 가져야 하는데, 여기서 종횡비는 홀의 최소 너비에 대한 깊이로 정의된다. 기저 실리콘(underlying silicon)이 에칭 홀의 깊이(산화물 두께)보다 실질적으로 작은 두께의 활성 도우핑 영역(active doped regions)을 가지고 형성될 수도 있다는 점에서 또 다른 문제점이 발생한다. 제조 변수들 때문에, 실질적으로 기저 활성 실리콘 영역을 에칭하지 않고 실리콘 산화물을 완전히 에칭하도록, 정확하게 비선택적 산화물 에칭 시간을 조정하는 것은 불가능하였다.
요구되는 이방성(anisotropy)은, 전형적으로 플루오르화 탄소(fluorocarbon)와 같은 플루오르 함유 에칭 가스를 전기적으로 플라즈마로 여기시키는 건식 플라즈마 에칭에 의해 이루어질 수 있다. 이러한 플라즈마 상태는 수많은 재료에서 높은 이방성 에칭을 가지도록 조정될 수 있다. 그러나, 산화물을 스퍼터링하는 충분히 높은 에너지로 플라즈마가 웨이퍼를 향해 입자들을 방출시키는 순수 스퍼터링 모드에서, 플라즈마 반응기를 작동시킴으로써 이방성이 이루어져서는 안된다. 일반적으로 스퍼터링은 비선택적이고, 또한 고에너지 스퍼터링은 에칭되는 콘택트 홀의 하부에서 노출되는 반도체 실리콘의 질을 심각하게 저하시킨다.
이런 저런 문제들로부터, 화학적 효과에 더욱 의존적인 선택적 에칭 방법(selective etching process)가 개발되었다. 이러한 프로세스들은 종종 반응성 이온 에칭(reactive ion etching, RIE)으로 기술된다. 각 레벨에 대한 정밀한 리쏘그래비(lithography)를 필요로 하지 않고서도, 충분히 높은 선택도(degree of selectivity)가 새로운 구조들의 제작을 가능하게 한다.
이와 같이 향상된 구조의 예로서 자체-정렬 접촉(self-aligned contact, SAC)이 도 1의 단면도로 도시되어 있다. 두 트랜지스터에 대한 SAC 구조가 실리콘 기판(2) 상에 형성되어 있다. 폴리실리콘 게이트 층(4), 텅스텐 실리사이드 배리어 및 접착층(tungsten silicide barrier and glue layer, 6), 및 실리콘 질화물 캡 층(silicon nitride cap layer, 8)이, 사이에 갭(gap, 12)을 가지며 밀접하게 이격된 두 개의 게이트 구조물(10)로 증착되고 포토리쏘그래피(photolithographically)로 형성된다. 이후 실질적으로 형상이 일치하는 실리콘 질화물(Si3N4) 층(14)을 웨이퍼 상에 증착시키는 경우 화학 기상 증착이 사용되는데, 상기 질화물 층은 갭(12)의 하부(15) 뿐만 아니라 게이트 구조물(10)의 상부와 측부도 코팅한다. 실제로, 질화물(nitride)은 지시된 화학양론으로부터 벗어나, x가 1과 1.5 사이의 값을 갖는 SiNx 조성을 가질 수도 있다. 질화물은 전기적 절연체(electrical insulator)로 작용한다. 자체-정렬 p형 또는 n형 웰(16)을 형성하도록 게이트 구조물(10)을 마스크로 사용하여 도우펀트 이온들을 주입하는데, 여기서 자체-정렬 p형 또는 n형 웰은 각각이 게이트(10)를 구비하는 두 개의 트랜지스터를 위한 공통 소스로 작용한다. 두 트랜지스터의 드레인 구조물은 도시되지 않았다.
산화물 필드 층(oxide field layer, 18)은 이렇게 앞서 형성된 구조물 상에 증착되며, 포토레지스트 층(photoresist layer, 20)은 산화물 필드 층(18)에 대하여 증착되는데 사진 방식으로 마스크로 형성된다. 후속 산화물 에칭 단계는 산화물 층(18)을 관통하여 콘택트 홀(contact hole, 22)을 에칭하고, 콘택트 홀(22)의 밑에 있는 질화물 층(14)의 부분(24)에서 정지한다. 콘택트 홀(22)에 후속적으로 증착되는 금속은 금속성 상호 연결 층(metallic interconnect layer) 보다는 기저 실리콘과 접촉하기 때문에 콘택트 홀이라 불리운다. 포스트-에칭 스퍼터링(post-etch sputtering)은 갭(12)의 하부(15)에서 질화물 부분(24)을 제거한다. 실리콘 질화물은 일반적으로 알루미늄과 같은 금속과 게이트 구조물(10) 사이의 전기 절연체로 작용하는데, 이 후에 상기 콘택트 홀(22)은 금속으로 채워진다.
질화물이 절연체로 작용하기 때문에, SAC 구조 및 프로세스는 콘택트 홀(22)이 게이트 구조(10) 사이의 갭(12)의 너비보다 넓을 수 있다는 장점을 제공한다. 향상된 소자에서는, 갭(12)은 매우 작으며 10㎚보다 작을 수 있는 반면 콘택트 홀(22)의 너비는 매우 클 수도 있다. 부가적으로, 게이트 구조물(10)과 콘택트 홀(22)의 포토리쏘그래피 일치성(photolithographic registry)은 정밀할 필요는 없다. 포토레지스트 층(20)의 마스크의 부정밀한 형성은 콘택트 홀(22)의 일 측면을 갭(12)의 중앙 근처에 둘 수도 있다. 그럼에도 불구하고, 여전히 우수한 접촉을 제공한다. 하지만 이러한 우수한 효과를 가져오도록, SAC 산화물 에칭은 질화물에 대하여 상당히 선택적이어야 한다. 즉, 이 프로세스는 질화물 에칭 속도보다 훨씬 높은 산화물 에칭 속도를 가져야만 한다. 선택도 수치는 질화물 에칭 속도에 대한 산화물 에칭 속도의 비율로서 계산된다. 산화물 에칭에 장기적으로 노출되는 질화물 부분은 코너(26)이기 때문에, 갭(12)의 위와 옆의 질화물 층(14)의 코너(26)에서의 선택도(selectivity)가 중요하다. 더욱이, 그들은 빠른 에칭에 적합한 기하학적 구조(geometry)를 갖는데, 빠른 에칭은 코너(26)에서 깎아 자른 면(facet)을 생성하는 경향을 갖는다. 그래서, 깎아 자른 면을 형성하는 것이 상당히 충분하다면, 그로 인하여 게이트 구조(10)의 코너는 조기에 노출될 것이다.
더욱이, 울퉁불퉁한 웨이퍼에 증착된 산화물 층을 평탄화하는데 사용되는 화학적 기계적 연마(CMP)의 결과로서, 선택도를 증가시키는 것이 요구된다. 연마 평탄화(polishing planarization)는 파상의 기저 기판 위의 산화물 층에 평탄한 상부 표면을 생성함으로써 실질적으로 가변 두께(variable thickness)를 갖는 산화물 층을 생성한다. 이러한 가변 두께를 보상하기 위해, 산화물 에칭 시간은 가변 두께 산화물의 관통을 보장하는 설계 두께를 위한 에칭 시간의 100% 정도까지 실질적으로 훨씬 커야 한다. 이러한 추가적인 에칭 시간은 오버 에칭으로 불리우고, 이는 다른 프로세스 변동을 수용한다. 그러나 보다 얇은 산화물을 가진 영역의 경우, 질화물이 상기 에칭 환경에 너무 오래동안 노출되게 된다.
궁극적으로 요구되는 선택도의 정도는, 게이트 구조(10) 중의 어느 하나의 게이트 구조와 콘택트 홀(22)에 채워진 금속과의 사이에서 질화물 층(14)을 통한 전기적 단락(electrical short)의 가능성에 반영된다. 또한, 에칭은 예를 들어, 마스크(20)의 코너에 형성되는 잘린 면(28)에서 포토레지스트에 대하여 선택적이어야 하는데, 포토레지스트 층(20)이 질화물 층(14)보다 훨씬 두꺼울 수도 있기 때문에, 포토레지스트 선택도의 요구 사양은 그다지 엄격하지는 않다.
차후에, 가장 크게 요구되는 에칭 단계로는, 고밀도 플라즈마 에칭 반응기(high-desity plasma(HDP) etch reactors)를 사용하여 수행되는 것이 계획될 것이다. 이와 같은 HDP 에칭 반응기는, 플라즈마 시스(plasma sheath)를 제외하고는 플라즈마를 가로질러서 1011-3의 최소 평균 이온 밀도를 가진 고밀도 플라즈마를 달성한다. 비록 전자 사이클로트론 공명(electron cyclotron resonace) 및 원격 플라즈마 소스와 같은 몇가지 기술이 고밀도 플라즈마를 생성하는데 유용하지만, 상업적으로 가장 중요한 기법은, RF 에너지를 소스 영역에 유도 결합하는 것을 포함한다. 유도 코일은 원통형으로 챔버의 측부 주변을 감싸거나 또는 챔버의 상부 위의 편평한 코일이거나, 또는 어떤 중간형 또는 조합된 기하학적 형태를 가질 수도 있다.
IPS 반응기
유도 결합 플라즈마 에칭 반응기의 예는 Applid Materials 로부터 입수가능한 유도 플라즈마 소스(Inductive Plasma Source, IPS) 에칭 반응기로서, 이는 Collins등에 의해 1996년 10월 21일에 출원된 미국 특허 출원번호 제 08/733,544호와 유럽 특허 출원 번호 EP-840,365-A2에 기술된 것이다. 도 2에 도시된 바와 같이, 프로세싱될 웨이퍼(30)는 음극 지지대(cathode pedestal, 32) 상에서 지지되는데, 음극 지지대는 제 1 RF 전력 공급기(34)로부터 RF 전력을 공급받는다. 실리콘 링(36)은 음극 지지대(32)를 둘러싸고, 일련의 가열 램프(38)에 의해 제어 가능하게 가열된다. 접지된 실리콘 벽(40)은 플라즈마 프로세싱 영역을 둘러싸고 있다. 실리콘 지붕(42)은 플라즈마 프로세싱 영역을 덮고 있고, 그리고 램프(44)와 수냉 채널(water cooling channels, 46)은 그 온도를 제어한다. 진공 프로세싱 챔버의 체적은 약 23 리터이다. 온도가 제어되는 실리콘 링(silicon ring, 36)과 실리콘 지붕(42)은, 플루오르화 탄소 플라즈마로부터 플루오르를 제거하는 데 사용될 수도 있다. 일부 응응예의 경우, 플루오르 제거는 비정질 탄소(amorphous carbon) 또는 흑연질 탄소(graphite carbon)와 같은 고체 탄소체(solid carbon body)에 의해, 또는 탄화 실리콘(silicon carbide)과 같은 비산화물 실리콘에 기초한 재료 또는 비산화물 탄소에 기초한 재료에 의해 이루어질 수도 있다.
자기 또는 반도체 메모리 내에 프로세스 방법이 저장된 시스템 제어기(system controller, 52)의 제어하에 있는 일련의 질량 유동 제어기(50)를 거쳐 하나 혹은 그 이상의 하부 가스 공급장치(48, gas feeds)로부터, 프로세싱 가스가 공급된다. 가스는 각각의 가스 소스(54, 56, 58)로부터 공급된다. 전형적인 산화물 에칭 방법은 플루오르화 탄소(fluorocarbon) 또는 플루오르화 탄화 수소(hydrofluorocarbon) 및 아르곤(argon)의 조합을 사용하는 것이다. 옥타 플루오르화 시클로부탄(C4F8) 및 트리 플루오르화 메탄(CHF3)은 일반적인 플루오르화 탄소 및 하이드로 플루오르화 탄소이지만, 다른 플루오르화 탄소 및 하이드로 플루오르화 탄소와 그리고 이들의 조합이 사용되고, 그리고 이러한 다른 에칭 가스들은 본 발명의 적어도 일부를 이룬다.
챔버의 하부 주변의 펌핑 채널(60)에 연결되는 도시되지 않은 진공 펌핑 시스템은, 제어기(52)에 의해 세팅된 것처럼, 챔버에 사전 설정된 압력을 챔버를 유지한다.
제어기(52)는 에칭 방법에 따라 다양한 반응기 요소를 제어하여 에칭 방법를 결정한다.
사용된 구조에서, 실리콘 지붕(42)은 접지되어 있지만, 그 반도체 고유 저항 및 두께는 일반적으로 축방향의 RF 자기 필드를 통과하도록 선택되는데, 이 축방향 RF 자기 필드는 각각의 RF 전력 공급기(66, 68)에 의해 전력을 공급받은 내부 유도 코일 스택(62)과 외부 유도 코일 스택(64)에 의해 생성된다. 단일의 RF 전력 공급기(RF power supply) 및 RF 전력 분리기(RF power splitter)가 대체될 수도 있다. 후술되는 실험에 사용되는 IPS 반응기에서, 세 개의 RF 전력 공급기의 주파수는 모두 상이하고, 낮은 메가헤르츠 영역에 있다.
광학 분광 분석기(optical emission spectroscopy, OES)는 플라즈마 에칭에서 종점 검출(end-point detection)을 위해 사용되는 전형적인 감시 프로세스이다. 웨이퍼(30) 위의 플라즈마 영역(74)을 횡방향으로 조망하기 위하여 챔버 벽(40)을 관통하는 구멍(72)에 광섬유(optical fiber, 70)가 배치된다. 광학 검출 시스템(76)은 광섬유(70)의 다른 쪽 끝에 연결되어 있고, 플라즈마 내의 알루미늄, 구리 또는 기타 다른 종(species)들과 연계되는 플라즈마 방출 스펙트럼에 동조되는 하나 혹은 그 이상의 광학 필터 및 프로세싱 회로를 포함한다. 가공되지 않은 검출 신호 또는 트리거 신호가 전기적으로 제어기(52)에 공급되고, 새로운 신호가 나타나거나 기존의 신호가 감소할 때 에칭 방법의 한 단계가 완성되어지는 것을 측정하도록, 제어기(52)가 상기 신호들을 사용할 수 있다. 이러한 측정으로, 상기 제어기(52)는 소스 전력 공급기(source power supplies, 66, 68)에 일차적으로 설정된 전력 수준에 따라 에칭 단계를 종료시키거나 프로세스 방법을 조정할 수도 있다.
IPS 챔버는 작동시에 유연성이 매우 높다. 이는 고 밀도 또는 저 밀도 플라즈마를 생성할 수 있다. 실리콘 표면의 온도 및 웨이퍼의 온도가 조절될 수 있다. 바이어스 전력 공급기(34)에 의해 음극 지지대(32)에 인가되는 바이어스 전력은, 코일(62, 64)에 인가되는 소스 전력과는 독립적으로 조정될 수 있다.
특히 HDP 에칭 반응기를 사용하는 경우, 산화물 에칭의 선택도는 플루오르화 탄소 에칭 가스가 비산화물 부분 위에 중합체 층(polymer layer)을 형성하여 비산화물 부분들이 에칭되는 것을 방지하는 반면, 산화물 부분들은 에칭 환경에 여전히 노출되어 있도록 함으로써 달성된다. 또한 산화물 측벽 상에 형성되는 중합체는 또한 수직 에칭 프로파일을 증진한다. 도 2의 반응기에서 온도 제어 실리콘 링(36) 및 지붕(42)이 중합체의 플루오르 함량을 제어하고, 그리하여 중합체가 비산화물을 덮고 있는 경우 플루오르화 탄소 플라즈마에 의한 에칭에 대한 유효도(effectiveness)를 제어할 수 있다고 알려져 왔다. 하지만, 이러한 메카니즘은 고 선택도가 요구되는 경우라면 적어도 두가지 문제점을 초래한다. 만약 에칭되는 콘택트 홀 내에서 산화물 또는 질화물 표면에 중합체가 과도하게 증착된다면, 홀이 메워질 수 있고, 홀을 완전히 에칭하기도 전에 에칭이 정지된다. 이러한 좋지 않은 상태는 에칭 정지라고 지칭된다.
더욱이, 중합체 형성이 프로세싱 조건에 결정적으로 의존하도록 화학적 성질이 이루어질 수도 있다. 한 세트의 프로세싱 파라미터에 의해 생성되는 프로세싱 조건으로 고 선택도를 이루는 것이 가능할 수도 있으나, 이러한 조건에 있어서의 매우 작은 변동도 일면에서는 선택도를 실질적으로 줄이기에 충분할 수도 있으며, 다른 면에서는 에칭 정지를 발생시킬 수도 있다. 이러한 변동은 적어도 두 가지 방식으로 발생할 수 있다. 웨이퍼 중간에서의 조건들은 중심에서의 조건부터 변동할 수도 있다. 게다가, 챔버가 예열되는 경우 분 단위로 시간에 따라 조건들이 변동할 수 있으며, 장치가 노후하거나 챔버 부품이 교체되는 경우에는 날짜 단위로 시간에 따라 조건들이 변동할 수도 있다. 하드웨어가 ±5 또는 6%에 불과하게 제어될 수도 있고, 3 내지 6의 안전 여유(safety margin)가 요구된다. 질량 유동 제어기(mass flow controller, 46)는 ±1 sccm(standard cubic centimeter per minute)의 가스 유동보다 작게 제어하기가 어려워서, 단지 소수의 sccm을 가진 임의의 조성 가스의 가스 유동은 큰 퍼센트의 변동을 받기 쉽다.
이러한 요인들은, 상업적으로 존립 가능한 에칭 방법는 넓은 프로세스 윈도우(window)를 가져야 한다는 것을 나타낸다. 즉, 가스 구성(composition)과 같은, 그리고 챔버 압력과 같은 파라미터의 보통의 변동은, 결과적인 에칭에서 단지 최소한의 변화를 가져와야 할 것이다.
일반적으로 플루오르화 탄소로 지칭되는 고차(higher-order)의 수소-비함유 플루오르화 탄소(hydrogen-free fluorocarbon) 및 플루오르화 탄화 수소(fluorocarbon)에 의존하는, 수개의 산화물 에칭 방법가 제안되어졌다. 고차(high-order)의 플루오르화 탄소의 예로는, 플루오르화 에탄(fluoroethane), 플루오르화 프로판(fluoropropane), 및 플루오르화 부탄(fluorobutane) 등이 있으며, 후자 2가지는 선형이거나 고리 모양의 형태를 가질 수 있다. Marks 등의 미국 특허 제 5,423,945호에서는, 열적으로 제어되는 실리콘 표면을 구비하는 HDP 에칭 반응기에서 C2F6를 사용하여 질화물에 대하여 선택적으로 산화물을 에칭하는 것이 기술되어 있다. 도 2의 IPS 챔버 및 다른 형태의 플라즈마 반응기로 행하는 후 프로세스 작업은, C4F8을 주 에천트 종(principle etchant species)으로 강조하였다. Araki 등의 미국 특허 제 5,770,098호에 기재된 바와 같이, 요구된 선택도를 달성하도록 아르곤(Ar) 및 일산화 탄소(CO)가 종종 추가된다. Wang 등은 1997년 11월 5일 및 1998년 3월 27일에 각각 출원된, 미국 특허 출원 제 08/964,504호 및 제 09/049862호에서, 예를 들어 C3H2F6, C3F6인 플루오르화 프로판 및 플루오르화 프로필렌의 사용을 기술하고 있다. 플루오르화 탄소의 두 예는, C4F8처럼, F/C 비율이 2이고, 미국 특허 제 5,338,339호의 Yanagida를 포함한, 연구자들은 이 수치가 최선의 페시베이팅(passivating) 중합체를 생성한다고 믿는다. 그러나 우리는 에칭 프로파일이 C3H2F6로 제어될 수 없다는 것을 관측하였다.
만약 가능하다면, 이미 널리 유용되고 있는 테트라 플루오르화 메탄(CF4), 트리 플루오르화 메탄(CHF3), 다이 플루오르화 메탄(CH2F2) 및 모노 플루오르화 메탄(CH3F)을 포함하는 플루오르화 메탄을 사용하는 것이 바람직하다. HUNG 등이 1997년 10월 23일에 출원한 미국 특허 출원 제 08/956,641호에서는, CHF3및 CH2F2사용을 제안한다. 이 마지막 조합은 부족한 중합체를 형성을 나타내며 불충분한 선택이라는 것을 관찰했다.
비록 옥타플루오르화 시클로 부텐(C4F8)은 가장 일반적인 산화물 에칭 가스이지만, 너무 협소한 프로세스 윈도우로 어려움을 겪는 것을 관찰하였다. 게다가, 비록 C4F8이 에칭 구멍의 하부에서 선택도를 제공하는 것으로 알려져 왔지만, 원하는 수직 프로파일에 대하여 요청되는 측벽 페시베이션을 거의 제공하지 못한다. 또한, C4F8은 4℃ 내지 6℃의 끓는점을 가지고 있는데, 이는 특히 음극이 매우 찬 상태에서 작동하는 경향의 관점에서 보면, 가스 에천트로 사용되기에는 다소 높다고 여겨지는 온도이다. 종종 일반적인 질화물 선택도를 증가시키고 측벽 페시베이션을 증가시키도록, C4F8에 일산화 탄소(CO)가 첨가된다. 하지만, CO는 독성이 있을 뿐만 아니라 가스 실린더와 공급 선로에서 니켈 및 철과 함께 카르보닐기를 형성한다. 이러한 카르보닐기(carbonyls)는 웨이퍼를 오염시키는 것으로 알려져 있다. 이러한 이유로, CO를 사용하는 것은 지양되는 것이 바람직하다.
택일적으로 플루오르화 메탄 및 헥사 플루오르화 프로판(C3H2F6)을 사용하는 두가지 접근법은 모두 만족스러운 에칭 특성을 가지면서 보다 넓은 프로세스 윈도우를 제공하지만, 아직은 프로세스 윈도우가 너무 협소하고 에칭 특성도 더욱 향상될 수 있다고 여전히 믿어진다.
또한 헥사 플루오르화 프로필렌(C3F6)은 앞서 언급된 특허에서 Wang 등에 의해 연구되었다. 이는 앞서 언급한 특허에서 Yanagida에 의해 요청된 F/C 비율을 가지고 있다. 하지만, 결과는 충분하지 않은 선택도를 나타낸다. Yanagida는 또한 헥사 플루오르화 시클로부텐(c-C4F6)의 사용이 우수한 이방성과 저항 및 각각 4 및 12의 실리콘 선택도를 생성한다고 보고하였다. 하지만, 이러한 이성질체는 매우 유독하다.
이론적으로 장래성있는 에칭 가스는 테트라 플루오르화 에틸렌(C2F4)이다. 하지만 위험할 정도의 폭발성을 가지고 있다.
Fukuta는 일본 출원 공개 공보(Kokai) 제 9-191002호에서 헥사 플루오르화 1,3 부타디엔(hexafluoro-1,3-butadiene:C4F6)을 사용하는 산화물 에칭프로세스를 제시하였다. 하지만, 여기서는 자기 강화 용량 결합 에칭 챔버(magnetically enhanced capacitively coupled etch chamber)를 사용한다. 그가 제안한 구조는 단지 콘택트 홀의 하부에서만 선택도를 요구하고, 그는 SAC처럼 향상된 프로세스에서의 콘택트 홀에 대하여 요구되는 고 선택도를 언급하지는 않고 있다. 용량 결합 반응기(capacitively coupled reactor)는 소스 및 바이어스 전력의 분리(decoupling)를 허용하지 않는다. U.S 특허 제 5,366,590호에서 Kadomura는, 고밀도 플라즈마 반응기내에서 1에 근접하는 C/F 비율을 가진 수소-비함유(hydrogen-free) 플루오르화 탄소를 사용하는 SAC 에칭 방법를 제시하고 있다. 그가 선호하는 화학 제품으로는 헥사 플루오르화 부타디엔(hexafluorobutadiene), 헥사 플루오르화 시클로 부텐(hexafluorocyclobutene), 및 헥사 플루오르화 벤젠(hexafluorobenzene, C6F6)과 같은 것들이 있다. 그가 제시하는 모든 예들은, 아르곤 운송 가스(argon carrier gas) 사용을 배제하며, 바이어스 전력은 상대적으로 별로 크지 않다.
산화물 에칭을 위해 플루오르화 탄소를 선택하는 것에 있어서 추가적 고려사항이 있다. 만약 고차(higher-order)의 플루오르화 탄소를 선택한다면, 반도체 등급이 발전되어질 필요가 있을 경우조차도, 현재 유용한 상업적인 공급이 상당히 고려되어야 한다. 더욱이, 수많은 고차(higher-order)의 플루오르화 탄소는 실온 근처에서 액체이다. 운송 가스 내에 액체를 분무화하도록 버블러(bubbler)를 사용함으로써 액체 선구체(precursor)를 사용하는 것도 역시 가능하다.
하지만, 버블러는 또 다른 비용을 추가시키고, 빈번한 유지 보수를 필요로 하며, 액체 선구체(liquid precursor)의 유효 유량을 확실히 제어하기는 어렵다. 기체 상태의 선구체가 더욱 바람직하다.
이와 같은 이유로, 다른 플루오르화 탄소 및 플루오르화 탄화 수소 에칭 가스가 요구된다. 프로세스 조건은, 넓은 프로세스 윈도우를 가지고 고 선택도를 생성하는 이들 다른 에칭 가스에 대하여 얻어질 필요가 있다.
발명의 요약
본 발명의 한 관점은, 헥사 플루오르화 부타디엔(C4F6) 및 그 이성질체와 같은 고차(higher-order)의 불포화 플루오르화 탄소를 사용하는 산화물 에칭 방법을 포함한다. 화학적 불활성 희석화 가스는 예를 들면, 아르곤(Ar) 등의 희 가스(noble gas)와 같은 것들이 있다. 많은 양의 희석화 가스가 사용되고, 압력이 약 20 mili Torr이하로 유지되는 경우, 그리고 바이어스 전력이 거의 소스 전력과 동일한 경우에, 넓은 프로세스 윈도우가 달성된다.
본 발명의 또 다른 관점은, 펜타 플루오르화 프로필렌(C3HF5), 트리 플루오르화 프로핀(C3HF3) 및 옥타 플루오르화 펜타디엔(C5F8)과 같이 관련된 플루오르화 탄소의 사용을 포함한다.
유도 결합 반응기와 같이 고밀도 플라즈마를 사용하는 플라즈마 반응기, 또는 용량 결합 반응기와 같이 저밀도 플라즈마를 사용하는 플라즈마 반응기에서 에칭 방법를 실행할 수 있다.
질화물, 특히 질화물 잘린 면(facet)에 대한 고 선택도는 여러가지의 방법으로 얻어질 수 있다. 플라즈마로부터 플루오르를 소거하여 중합체의 질을 향상하도록, 실리콘에 기초한 고체 재료를 약 225℃로 가열하는 것이 바람직하다. 에칭이 에칭되는 구멍의 하부에 도달함에 따라 전력 수준은 감소될 수도 있다. 하이드로 플루오르화 메탄(hydrofluoromethane)과 같은, 바람직하게는 다이 플루오르화 메탄(CH2F2)과 같이 더욱 강하게 중합하는 가스(more heavily polymerizing gas)가 부가될 수도 있다. 또한 더욱 강하게 중합하는 가스는 단지 구멍 에칭이 끝날 무렵에만 부가되는 것이 바람직하다.
본 발명은 헥사 플루오르화 시클로 부텐(c-C4F6) 및 헥사 플루오르화 벤젠(C6F6)으로 확장될 수도 있고, 약 2보다 작은 F/C 비율을 가진 다른 수소-비함유 플루오르화 탄소로 확장될 수도 있다.
본 발명은 1998년 3월 27일에 출원된 미국 출원 번호 제 09/049,862호의 일부 계속 출원인, 1998년 11월 16일에 출원된 미국 출원 번호 제 09/193,056의 일부 계속 출원이다. 또한 본 발명은 Hung 등에 의해 1999년 3월 25일에 동시 출원된 미국 출원 번호 09/276,376과도 관련되어 있다.
본 발명은 일반적으로 실리콘 집적 회로 에칭에 관한 것이다. 특히, 본 발명은, 실리콘 질화물(silicon nitride) 및 다른 비산화물 재료에 대하여 급격히 감소된 에칭 속도를 가능하게 하지만 여전히 상기 산화물에서 수직 프로파일을 형성하는 프로세스에서 실리콘 산화물 및 관련 재료를 에칭하는 것에 관한 것이다.
도 1은 자체-정렬 접촉(Self-aligned contact : SAC) 구조의 단면도이다.
도 2는 유도 결합 고밀도 플라즈마 에칭 반응기의 일부 단면 개략도이다.
도 3은 다수의 플루오르화 탄소 및 플루오르화 탄화 수소 에칭 가스의 플루오르 대 탄소의 비를 비교하는 도표이다.
도 4는 플라즈마내의 플루오르 라디칼에 대한 탄소 비의 종속성을 플루오르화 탄소의 F:C에 대한 함수로 나타내는 도표이다.
도 5는 도 3의 다수개의 에칭 가스에 대한 산화물 대 질화물 선택비를 F/C 비율의 함수로 나타내는 도표이다.
도 6 내지 8은 본 발명의 세 가지 에칭 가스의 화학 구조를 나타낸다.
도 9는 전력 및 압력이 변화하는 두 단계 에칭 방법의 흐름도이다.
도 10은 옥타 플루오르화 시클로 부텐에 비교하여 헥사 플루오르화 부타디엔에 대한 아르곤 유동의 함수로서 질화물 선택도를 나타내는 도표이다.
도 11은 본 발명의 통합된 에칭 방법의 일 실시예의 흐름도이다.
도 12는 용량 결합 에칭 반응기의 부분 단면의 개략도이다.도 13 내지 14는 본 발명의 다른 두 개의 에칭 가스의 화학 구조를 나타낸다.
바람직한 실시예의 상세한 설명
특히 세 개 혹은 그 이상의 탄소를 지니고 1 또는 바람직하게는 그 이상의 그러나 2이하의 F/C 비율을 가진 고차(higher-order : 무거운) 플루오르화 탄소들은, 넓은 프로세스 윈도우를 구비하는 만족스러운 에칭 특성에 중합체(polymer)를 생성할 것이다. 다수의 플루오르화 탄소 및 플루오르화 탄화수소에 대한 F/C 비율의 차트가 도 3에 도시되어 있다. 네 개 혹은 그 이상의 탄소를 가진 플루오르화 탄소는, 특히 고밀도 플라즈마에서 분리(break-up)되는 경향이 있기 때문에, 일반적으로 불필요하게 복잡하다고 여겨진다. 그러나, 헥사 플루오르화 벤젠(C6F6)은 그 크기의 복잡성을 극복할 수 있는 바람직한 물리적 특성을 가지고 있다. 모노 플루오르화 메탄(CH3F)처럼 높은 수소 함량을 가진 플루오르화 탄화 수소는 너무 강하게 중합되어, 깊은 산화물 구멍 에칭 시에 주 에천트 가스로 사용할 수 없다고 여겨진다. 또한, 높은 수소 함량은 질화물 선택도를 감소시키고 테이퍼 프로파일을 낮출 것이다.
고밀도 플라즈마는 가스 분자를 라디칼로 해리시키는 경향이 있다. 보다 긴 탄소 체인은 매우 다양한 활성화된 입자를 제공하는데, 여기에는 플루오르화 탄소 중합체 체인의 형성을 책임지고 있다고 여겨지는 CF2 *라디칼이 포함된다. 보다 긴 탄소 선구체 원자는 매우 다양한 입자들을 제공하는 데, 이는 중합체를 교차 연결(cross linking)함에 유효할 수도 있고, 입자들의 분포는 HDP 반응기에서 상이한 전력 수준으로 제어될 수도 있다. 저-플루오르 중합체는, 아마도 보다 두껍고 더욱 보호된 중합체를 초래하는 증가된 교차 연결(cross linking) 때문에 보다 나은 선택도를 제공하는 것으로 알려져 있다. 비록 2의 F/C 비율이 플루오르화 탄소 체인의 단일 세그먼트에 상응하지만, 중합 교차 연결(polymeric corss linking)을 설명하지 못한다. 실리콘에 기초한 플루오르 제거는 플라즈마의 자유 라디칼을 소거함으로써 플루오르 함량을 감소시키려는 시도이다. 2보다 작은 F/C 비율을 지닌 보다 긴 탄소 분자는 중합체의 플루오르 함량을 감소시키기 위한 또 다른 메커니즘을 제공한다.
IPS 화학(IPS Chemistry)
표 1에 주어진 기초적 방법에서 단지 플루오르화 탄소로서 사용되는 CF4, C4F6, C4F8, 및 C2F6에 대한 C2와 F 방사 비율(emission ratio)을 측정하기 위하여, 광학 분광 분석기(optical emission spectroscopy)가 IPS 챔버에서 사용된다. C2/F 방사 비율은 가스들의 F:C 비율의 함수로서 도 4에 가상 단위(arbitrary units)로 도시되어 있다. 적어도 이러한 네 종류의 가스에 대하여, C2/F방사 비율과 F:C 비율 사이에는 음의 선형 관계가 성립하는데, F:C 값이 낮아질 수록, C2/F값은 높아진다.
CxHyFz유동( sccm) 20
아르곤 유동( sccm) 100
내부 소스 전력(W) 0
외부 소스 전력(W) 1400
바이어스 전력(W) 1400
압력(mT) 7
지붕 온도(℃) 200
링 온도(℃) 270
음극 온도(℃) +10
후면 헬륨 압력(T) 7
IPS 프로세스(IPS Process)
다수의 플루오르화 탄소 및 플루오르화 탄화 수소가 표 1의 기초적 방법을 사용하여 IPS 반응기에서 선행적으로 테스팅되었다. 다양한 방법에서의 유동 및 전력 수준이 23 리터 진공 챔버 및 314㎠의 면적을 가진 200㎜ 웨이퍼에 대하여 표준화되어야 한다.
상당히 많은 성분의 아르곤과 결합된 플루오르화 탄소 및 플루오르화 탄화 수소 에칭 가스가 수평적으로 패턴화되지 않은 웨이퍼에 인가되고, 블랭킷 산화물 대 질화물 선택도(blanket oxide-to-nitride selectivity)가 측정된다. 이 선택도는 F/C 비율의 함수로서 도 5에 도시되어 있다. 이러한 결과는 낮은 F/C 비율 값의 경우 질화물의 증가되는 블랭킷 선택도를 명확하게 보여준다.
상기 언급된 특허에서 Wang 등에 의하여 선택된, C3HF7및 C3H2F6와 같은 플루오르화 프로판 가스는 이러한 요구 사양들 중에서 적어도 일부를 만족시키도록 의도되어 있다. 하지만, 상기 언급한 바와 같이 적어도 C3H2F6는 불량한 프로파일을 생성한다. 하지만, 이러한 3-탄소 플루오르화 탄소를 플루오르화 프로판에 한정시킬 이유는 없다. 또한, 불포화 3-탄소 플루오르화 탄소가 사용될 수도 있다. 상업적으로 유용한 3 가지 불포화 플루오르화 탄소 및 플루오르화 탄화 수소 가스는 이러한 요구 사양들을 만족시킨다. 불포화 플루오르화 탄소는 이웃하는 탄소 사이에서 이중 혹은 삼중 결합을 가진 것이다.
이러한 가스의 첫 번째 예는 헥사 플루오르화 부타디엔(C4F6)이다. 이성질체인 헥사 플루오르화-1,3-부타디엔은 도 6에 도시된, 네 개의 탄소 원자, 여섯 개의 플루오르 원자, 및 두 개의 이중 결합을 가진 화학 구조를 가지고 있다. 그 F/C 비율은 1.5이다. 끓는점은 6-7℃이다.
두 번째 예는 트리 플루오르화 프로핀(C3HF3그리고 선택적으로 트리 플루오르화 메틸 아세틸렌으로도 명명됨)이다. 이성질체 3,3,3-트리 플루오르화-1-프로핀은 탄소 원자 세 개, 플루오르 원자 세 개, 수소 원자 한 개, 그리고 삼중 결합 한 개를 갖는, 도 13에 도시된 화학 구조를 갖는다. 이 F/C 비율은 1이다. 끓는점은 -48℃이다.
세 번째 예는 펜타 플루오르화 프로필렌(C3HF5그리고 선택적으로 펜타 플루오르화 프로펜으로도 명명됨)이다. 이성질체 1,1,3,3,3-펜타 플루오르화 프로필렌은, 탄소 원자 세 개, 플루오르 원자 다섯 개, 수소 원자 한 개 및 이중 결합 한 개를 가진, 도 14에 도시된 화학 구조를 가지고 있다. 그 F/C 비율은 1.67이다. 끓는점은 -21℃이다. 미국 특허 출원 번호 제 09/049,862호에서 Wang 등이 C3F6에 대한 대체물로서 C3HF5를 제안하였다.
네 번째 예는 옥타 플루오르화 펜타디엔(C5F8)으로, C4F6의 F/C 비율보다 약간 높고 C4F8의 F/C 비율보다는 대체적으로 낮은 F/C 비율을 가지는데, 이는 다른 두 개처럼 수소 때문에 중합이 복잡해지지는 않는다.
다른 이성질체들도 약간의 변화된 끓는점을 가지고서 유용하게 사용될 수 있다. 입체 이성질체를 특징화하도록 어떠한 시도도 행해지지 않는다. 예시된 모든 플루오르화 탄소는 선형 체인을 형성한다.
2보다는 작은 F/C 비율을 가진 수소-비함유 플루오르화 탄소는 특히 유효한 에칭 결과를 제공하는 것처럼 보인다.
상기 언급된 특허에서 Wang 등이 선택한 플루오르화 프로판 가스(C3H2F6)는, 상대적으로 낮은 F/C 비율에도 불구하고 질화물 선택도가 부족한 것으로 보여지지만, 여전히 요구되어진다고 생각되는 것보다 훨씬 높다. 현재 주류인 C4F8은 상대적으로 우수한 질화물 선택도를 가지지만, 더 많은 것이 요구된다.
실험되는 가스 중에서, 최상의 블랭킷 질화물 선택도를 나타내는 것은 헥사 플루오르화 부타디엔(C4F6)이고, 이는 과플루오르화 부타디엔(perfluorobutadiene)이라고도 불린다. 이성질체인 헥사 플루오르화-1,3-부타디엔은, 탄소 원자 네 개, 플루오르 원자 여섯 개 및 이중 결합 두 개를 가진, 도 6에 도시된 화학 구조를 가지고 있다. F/C 비율은 1.5이다. 분자량은 162이고 끓는점은 6-7℃이다. 20℃에서 증기압은 52 Torr이다. 비록 가연성이 있지만, 유독성은 없다. 또 다른 선형 이성질체가 가능하지만, 제조 방법은 설명된 것에 대하여 알려져 있다. 사이클릭 이성질체는 도 7에 도시된 화학 구조를 가진 헥사 플루오르화 시클로 부텐(c-C4F6)이다. c-C4F6플라즈마 화학적 성질은 선형 형태의 C4F6와 거의 동일할 것으로 여겨진다. 그러나 선형 C4F6은 단지 가연성을 가지고 있을 뿐이지만, c-C4F6은 독성 및 폭발성을 갖는 것으로 알려져 있다. Bargigia 등이 미국 특허 제 4,654,448호 및 제 5,082,981호에서 헥사 프루오르화 부타디엔의 제조 방법을 제시하였고 이는 상업적으로도 유효하다.
C4F6의 화학적 장점의 한가지는 수소가 배제되어 있다는 것이다. 헥사 플루오르화 벤젠(C6F6)도 유사하게 저 F/C 비율을 가지고 있고 수소를 함유하고 있지 않다. 이는 탄소 원자 여섯 개, 플루오르 원자 여섯 개로 된, 도 8에 도시된 화학 구조를 가진, 완전히 치환된 사이클릭 또는 방향족 유기 분자이다. 하지만, 불리하게도 상온에서 액체이며 점성을 가져서, 버블러 또는 다른 형태의 분무기 사용이 요구된다.
주 에칭 가스로서 헥사 플루오르화 부타디엔(C4F6)을 사용한 경우에 대하여 많은 실험 데이터가 얻어졌다.
C4F6및 C4F8를 선택적으로 사용하여 블랭킷 에칭 질화물 선택도의 추가적인 실험이 행하여졌다. 일반적으로 에칭 방법은 표 1을 따르지만, 플루오르화 탄소의 유동은 14 sccm으로 설정되고, 아르곤 유동은 실험들에서 변동될 수도 있다. 플루오르화 탄소로서 C4F6의 경우, 아르곤 유동이 30 sccm에서 500 sccm까지 증가함에 따라 산화물 에칭 속도는 감소하고, 반면 질화물 에칭 속도는 30 sccm 및 500 sccm에서 가장 작다. 두 가스에 대한 아르곤 유동의 함수로서 질화물 선택도가 도 10의 그래프에 도시되어 있다. 이러한 결과들은, 이 프로세싱 파라미터에 대하여 C4F8보다는 C4F6가 질화물에 대한 훨씬 우수한 블랭킷 선택도를 제공한다는 것과, 그리고 최상의 질화물 선택도를 50 sccm 및 그 이하의 C4F6유동에 대하여 얻게 된다는 것을 설명한다. 하지만, 선택도가 과도한 중합체 증착에 의하여 달성된다면 에칭 정지가 일어나기 쉽기 때문에, 선택도 그 자체로는 불충분하다. 아래에 기술되어지는 바와 같이, 최소 아르곤 유동은 에칭 정지 개시에 의하여 규정된다. C4F6에 대한 블랭킷 선택도와는 대조적으로, C4F8에 대한 질화물 선택도는 상이한 화학적 상황을 나타내면서 반대방향의 경향을 나타낸다.
제 1 에칭 방법이 표 2에 제시되어 있다.
제 1 에칭 방법
C4F6유동( sccm) 20
CH2F2유동( sccm) 0
아르곤 유동( sccm) 100
내부 소스 전력(W) 180
외부 소스 전력(W) 1260
바이어스 전력(W) 1400
압력(mT) 4
지붕 온도(℃) 200
링 온도(℃) 270
음극 온도(℃) +10
후면 헬륨 압력(T) 7
시간(s) 120
이 방법은 도 2에 도시된 IPS챔버에서 테스팅되었다. 이 방법은 높은 아르곤 함량, 저 압력 및 상대적으로 높은 실리콘 링 온도를 가진 것을 특징으로 하고 있다. 이 바이어스 전력은 소스 전력에 비하여 상대적으로 높게 50% 와 150% 사이이다. 하지만, 바이어스 전력의 개별적인 제어는 적절한 에칭 속도를 가지면서 높은 질화물 선택도를 얻는데 중요한 것으로 고려된다. 바이어스 전력은 웨이퍼에 입사되는 이온 에너지를 제어하는 반면, 소스 전력은 이온 및 라디칼 플럭스를 제어한다. 상당히 높은 이온 에너지는 비 선택적인 스퍼터링과 유사한 프로세스를 초래한다. 고밀도 플라즈마는 지지대의 DC 자체-바이어스에 의해 높은 종횡비를 가진 구멍의 바닥으로 지향될 수 있는 보다 높은 분율(fraction)의 이온화된 에칭 입자들을 생성하기 때문에, 고밀도 플라즈마는 더욱 중요하다.
일반적으로 도 1에 도시된 바와 같이, 두 개의 SAC 구조가 실험 작업에 사용되었다. 짧은 제 1 SAC 구조는 질화물로 덮여져 있고 약 0.3㎛의 높이를 가진 상부(top)의 위에 약 0.55㎛의 산화물 두께를 가지고 있다. 즉, 전체 산화물 에칭 깊이는 0.85㎛이다.
제 1 방법은 약 600 ㎚/min의 산화물 에칭 속도를 생성한다. 프로파일은 약 87°의 각으로 웨이퍼에 대하여 변화한다. 하지만, 코너에서의 선택도는 약 20:1이고, 상기 질화물 코너에서 상당하게 잘린 면(severe faceting)이 관측된다.
이러한 방법 또는 다른 보고된 방법으로도 어떠한 에칭 정지도 관측되지 않는다. 장차 에칭 정지가 특별한 방법에 대하여 특별히 보고되지 않는다면, 에칭 정지가 관측되지 않는 것이다.
일련의 실험들이 실행되어, C4F6유동 및 챔버 압력 모두의 변동에 대한 결과 매트릭스를 제공한다. 실험들은 0.45㎛의 비아 개구(via opening), 0.92㎛의 전체 산화물 두께 및 0.38㎛의 질화물로 덮인 게이트 구조의 두께를 가진 SAC구조에서 행해진다. C4F6유동이 10 sccm 및 20 sccm에서 실험되고 챔버 압력이 4 milliTorr 및 15 milliTorr에서 실험된다는 것을 제외하고는, 표 3의 방법의 파라미터를 사용하여 IPS 반응기에서 에칭한다.
제 2 에칭 방법
C4F6유동( sccm) 20
아르곤 유동( sccm) 100
내부 소스 전력(W) 340
외부 소스 전력(W) 1020
바이어스 전력(W) 1400
압력(mT) 15
지붕 온도(℃) 200
링 온도(℃) 270
음극 온도(℃) +10
후면 헬륨 압력(T) 7
시간(s) 120
샘플들이 플루오르화 탄소 유동 및 챔버 압력에 대한 범위의 한도에 의하여 설정된 네 가지 세트의 파라미터에서 에칭된다. 구조물이 에칭되고, 웨이퍼를 가로질러서 상이한 위치에서 SEM들이 평가된다. 일반적인 결과는 C4F6유동의 증가가 질화물 코너 선택도를 증가시킨다는 것이다. 양쪽 어느 쪽의 압력에서도 10 sccm의 C4F6유동은 질화물 코너 선택도를 심각하고 용납될 수 없는 정도로 저감시킨다. 나아가서, C4F620 sccm에서 챔버 압력을 증가시키면 에칭 수직성이 향상된다. 하지만, C4F625 sccm은 웨이퍼의 어디선가 발생하는 에칭정지를 일으키는 최대 유동이다.
이와 같은 결과에 기초하여, 표 2의 제 1 기초적 방법은 20 sccm의 C4F6유동 및 4 milliTorr의 챔버 압력을 사용하여 설정되었다.
제 1 기초적 방법은 아르곤 유동의 효과를 설정하도록 변화된다. 가장 중요한 에칭 정지 결과는 표 4에 요약되어 있다. 50 sccm의 아르곤 유동은 100 sccm의 경우보다 질화물 코너 선택도를 약간 향상시킨다. 25 sccm까지의 추가적인 아르곤의 감소는 여전히 에칭 정지를 만들지 않았다. 하지만, 10 sccm의 아르곤에서, 게이트 구조 한 쪽의 협소한 구멍에서 에칭 정지가 관측된다. 아르곤 유동을 영까지 추가적으로 감소시키면, 0.26㎛의 보다 낮은 너비에 대한 게이트 구조의 상부 수준에서 또는 게이트 구조의 상부보다는 훨씬 높은 수준에서 심각한 에칭 정지를 일으킨다.
Ar유동( sccm) C4F6유동(sccm)
15 20 25 30
0 ES(에칭 정지)
10 ES(에칭 정지)
25 NitrideLoss OK ES(에칭 정지) ES(에칭 정지)
50 OK
일련의 에칭 정지 실험이 25 sccm의 아르곤 유동 및 C4F6유동의 변화된 값으로 실행되었다. 앞서 언급한 바와 같이, C4F620 sccm 및 Ar 25 sccm에서는 어떠한 에칭 정지도 관측되지 않는다. 25 sccm의 C4F6에서, 구멍의 하부에서 얇은 중합체 층과 함께 에칭 정지가 나타나기 시작한다. 30 sccm의 C4F6에서 에칭 정지가 완전하였다. 또 다른 면으로는, C4F6유동이 15 sccm까지 감소되는 경우, 심각한 질화물 손실이 있다.
이러한 결과에 기초하여, 협소하고, 깊은 비아(vias)에서 에칭 정지가 일어나지 않음을 보장하기 위하여, 아르곤 유동이 C4F6의 유동 보다 커야만 하고, 보다 바람직 하게는 두 배, 가장 바람직하게는 네 배 정도되어야 한다고 믿는다. 하지만, C4F6유동에 의하여 측정된 바와 같이, 프로세스 윈도우는 큰 Ar 유동에 대하여 훨씬 크고, 적어도 15 sccm보다 크다. Ar 유동에 의하여 결정되는 바와 같이 프로세스 윈도우는, 25 sccm Ar에서 보다는 100 sccm Ar에서 훨신 넓은 윈도우가 얻어진다. 앞서 기술된 바와 같이, 100 sccm Ar에서의 윈도우는, 적어도 16 sccm의 C4F6에서 22 sccm까지, 가능하다면 25 sccm까지 확장된다.
다음으로, 최적화된 방법에서의 실리콘 링 온도에서의 변동의 효과가 평가된다. 특히 링 온도는 택일적으로 245, 270 및 350℃에서 설정된다. 보다 높은 링 실내 온도는 에칭 속도를 다소나마 감소시킨다. 질화물 코너의 선택도는 온도에 따라 증가한다.
표 2의 제 1 C4F6방법과 유사한 방법이, C4F6방법에 유사한 헥사 플루오르화 프로판(C3H2F6)을 사용하는 최적화된 방법과 비교되었다. 1.2㎛의 전 산화물 에칭 깊이에 대하여 0.45㎛ 높이의 게이트 구조물과 상부의 0.75㎛의 TEOS 산화물을 가지며, 약 0.35㎛의 트랜치 개구를 가진 키가 큰 제 2 SAC 구조에서 이 방법들을 실험하였다. C4F6에 대한 결과는 상기에서 기술된 것과 동일하다. C3H2F6에칭은 83-85°의 측벽 각을 가진 V 형 프로파일을 생성한다. 유효하지 않은 선택도를 나타내면서, 질화물에서도 동일한 프로파일이 관측된다. 동일한 방법이 질화물 상에 1.2㎛의 산화물을 갖고 있으나 게이트 구조물이 없는 모의 구조에 적용되었다. C4F6방법은 약 0.75㎛ 아래에서 안쪽으로 깔대기 모양으로 벌어지는(flaring) 것을 나타내지만, 이는 만약 두 측벽이 각각의 게이트 구조와 만나고 질화물 선택도가 적당히 높다면 문제되지 않을 것이다. C3H2F6방법은 1.2㎛ 두께 산화물의 하부까지 동일한 V형 프로파일을 생성한다.
두 개의 부 단계를 이용한 방법은 도 9의 프로세스 흐름도에 도시된 바와 같이, 단지 C4F6및 Ar이 주 에칭(78) 및 오버 에칭(79)에서 모두 사용되지만, 오버 에칭(79)에서는 전력 수준 및 챔버 압력이 감소된다. 이러한 방법은 표 5에 요약되어 있다. 이 방법은 1.1㎛의 전체 산화물 두께에 대하여, 440㎚ 높이의 게이트 구조물 상에 놓여 있는 640㎚의 산화물을 가지는 SAC 구조에서 증명된다. 비아 개구(via opening)는 440㎚이지만, 게이트 구조물들은 단지 85㎚의 갭에 의하여 이격되어 있다.
주 에칭 오버 에칭
C4F6유동(sccm) 20 20
아르곤 유동(sccm) 100 100
내부 소스 전력(W) 0 0
외부 소스 전력(W) 1600 1260
바이어스 전력(W) 1400 1080
압력(mT) 10 5.5
지붕 온도(℃) 200 200
링 온도(℃) 270 270
음극 온도(℃) +10 +10
후면 헬륨 압력(T) 7 7
시간(s) 60 80
산화물의 수직 프로파일은 전 웨이퍼에 걸쳐서 적어도 88°이다. 질화물 코너 잘린 면(faceting)이 관측되지만 수용할 수 있는 수준이다. 협소한 게이트 갭에서조차도 어떠한 에칭 정지도 관측되지 않는다. 이 방법은 심지어 내부 소스 전력이 없는 경우에 대해서도 적합한 일정성을 보인다.
C4F6유동을 16, 18 및 22 sccm으로 변화시킴으로써 표 5의 방법에 대하여 C4F6프로세스 윈도우가 설정된다. 20 sccm 경우의 결과에 비하여, 이들 중 어떤 유동에서도 잘린 면(faceting) 또는 수직 프로파일에 대한 어떠한 현저한 변화도 없고 에칭 정지도 관측되지 않는다.
C4F6방법에 대한 질화물 선택도를 증가시키고 질화물 잘린 면(faceting)을 감소시키기 위하여, 보다 강하게 중합된 플루오르화 탄소 가스가 C4F6에 첨가제로서 에칭 혼합물에 포함될 수도 있다. 일반적으로, 도 4에 대하여 설명된 바와 같이, 이렇게 첨가되는 가스는 C4F6보다 낮은 F:C 비율을 가지거나 수소-함유 플루오르화 탄소이다. 그래도, 플루오르화 메탄이 바람직하다. 다이 플루오르화 메탄(CH2F2)은 모노 플루오르화 메탄(CH3F)보다 덜 중합하기에, 표준 질량 유동 제어기가 더욱 쉽게 그 유동을 계량할 수 있다. 표 2의 비 첨가된 방법에 관련된 제 3 에칭 방법이 표 6에 요약되어 있다. C4F6보다 약간 적은 CH2F2를 가지고 있다.
제 3 에칭 방법
C4F6유동(sccm) 20
CH2F2유동(sccm) 15
아르곤 유동(sccm) 100
내부 소스 전력(W) 180
외부 소스 전력(W) 1260
바이어스 전력(W) 1400
압력(mT) 4
지붕 온도(℃) 200
링 온도(℃) 270
음극 온도(℃) +10
후면 헬륨 압력(T) 7
시간(s) 120
상기에 언급한 얕은 제 1 SAC구조를 가지고 제 3 방법이 사용된다. 관측된 산화물 에칭 속도는 약 620㎚/min이고, 질화물 선택도는 약 30:1까지 현저하게 향상된다. 하지만, 전체 에칭이 제 2 방법을 사용하는 경우에는 프로파일 각은 약 84°로 상당히 저하된다.
CH2F2의 첨가는 두 가지의 효과를 가지고 있다. 이는 질화물 선택도를 증가시키지만 더욱 테이퍼진 프로파일을 형성하는데, 그러나 C4F6에의 첨가는 우수한 선택도 및 실질적으로 수직인 프로파일을 생성하도록 최적화될 수 있다. 이와는 대조적으로, 동일한 질화물 선택도를 생성하도록 보다 많은 양의 CH2F2가 C4F8에 첨가될 필요가 있는데, 이 지점에서 에칭 프로파일이 실질적으로 저하된다.
테트라 플루오르화 에탄(C2H2F4)의 첨가에 다이 플루오르화 메탄의 첨가를 비교하기 위한 실험이 수행된다. 실험은 표 3의 제 1 기초적 방법과, 4 miliTorr의 챔버 압력으로, 그리고 15 sccm의 C2H2F4나 CH2F2를 첨가하거나 또는 첨가하지 않고 수행되었다. CH2F2를 첨가하는 것은 질화물 코너 선택도를 향상시키고, 에칭 정지를 발생시키지 않는다. C2H2F4를 첨가하는 것은 프로파일 각을 88°에서 86°로 감소시키는 것과 같이 약간의 테이퍼링을 일으키고, 웨이퍼 상의 일부 위치에서 에칭 정지를 발생시킨다.
두 에칭 방법 모두가 최선의 특성을 결합하기 위하여, 두 단계로 이루어진 산화물 에칭 방법이 개발되었다. 도 9의 프로세스 흐름도에 도시된 바와 같이, 주 에칭(80)이 C4F6와 아르곤의 에칭 가스 혼합제를 사용함으로써 먼저 형성된다. 주 에칭은 빠른 에칭 속도와 우수한 수직 프로파일을 제공한다. 그런 다음에 오버 에칭(82)이 실행되는데, 이 오버 에칭에서는 질화물 선택도를 증가시켜 질화물 코너를 보호하도록, 중합된 CH2F2가 첨가된다. 특히 주 에칭이 이미 질화물에 도달한 경우, 수직 프로파일은 오버 에칭에서 그다지 중요하지는 않다.
첨가되는 가스를 포함하는 두 단계 에칭 방법의 제 1 실시예는 표 7에 요약되어 있다.
주 에칭 오버 에칭
C4F6유동(sccm) 20 20
CH2F2유동(sccm) 0 10
아르곤 유동(sccm) 100 100
내부 소스 전력(W) 0 0
외부 소스 전력(W) 1600 1600
바이어스 전력(W) 1400 1400
압력(mT) 10 10
지붕 온도(℃) 230 230
링 온도(℃) 300 300
음극 온도(℃) +15 +15
후면 헬륨 압력(T) 7 7
시간(s) 100 30
이 방법은 키가 큰 제 2 SAC 구조에 적용된다. 홀의 산화물 부분에서의 프로파일 각은 적어도 87°로 관측되고, 질화물 선택도는 수용 가능하다.
주 에칭 및 오버 에칭 사이의 전환 시기는, 주 에칭이 웨이퍼의 대부분의 위치에서 질화물 하부에 도달하고 오버 에칭이 과도한 질화물 잘린면(faceting)을 생성하지 않으면서 완전한 에칭을 보장하도록 선택된다. 추가적인 질화물 보호를 제공하도록, 전환은 주 에칭이 대부분의 위치에서 질화물의 상부를 통과하게 되는 지점, 즉 게이트 구조 사이의 갭에 있는 어떤 지점으로 이동될 수 있다. 전환은 질화물 부산물의 방사에 동조되는 광학 분광 분석기 또는 등가의 수단에 의존하여 동적으로 제어될 수 있다. 그러므로, 현저히 수많은 위치에서 주 에칭이 상부 질화물에 도달하자마자 중합 가스가 첨가된다.
그런 다음, 프로세스 윈도우를 결정하고 그 프로세스 윈도우가 상대적으로 폭넓다는 사실을 결정하기 위하여, 많은 실험이 수행된다. 첫번째 쌍의 실험에서는, 0.35㎛ 트랜치 개구(trench aperture)를 가지는 얕은 SAC 구조상에서, 표 8에 나열된 두 변동 방법을 택일적으로 따라서 1 단계 C4F6/CH2F2에칭이 수행되었다.
제 1 변동 제 2 변동
C4F6유동(sccm) 17 25
CH2F2유동(sccm) 5 5
아르곤 유동(sccm) 100 100
내부 소스 전력(W) 0 0
외부 소스 전력(W) 1600 1600
바이어스 전력(W) 1400 1400
압력(mT) 4.5 4.5
지붕 온도(℃) 230 230
링 온도(℃) 300 300
음극 온도(℃) +10 +10
후면 헬륨 압력(T) 7 7
시간(s) 150 150
이 두 가지 방법은 20 sccm의 기초적 값 주위로 15%까지 C4F6의 유동을 변화시킨다. 다른 파라미터들은 표 6의 제 3 방법에 대한 기초적 값과 약간 상이하지만, 임계적 오버 에칭에서 C4F6에 대한 윈도우를 설정하기에 충분히 근접하고 있다. 질화물 코너 에칭은 거의 관측되지 않는다. 최소 프로파일 각은 두 가지 방법 사이에서 3°와 4°사이에서 변하는데, 이는 표 1의 제 2 에칭 방법의 84°보다는 양호하다. 에칭의 하부에서는 내부로 테이퍼져 있다. 유사한 결과가 0.4㎛ trench에서 관측된다.
C4F6유동에 대한 이러한 ±15% 윈도우는, C4F615 sccm 및 CH2F228 sccm를 가지고 다른 파라미터들은 C4F6에칭에 대한 파라미터와 유사한, 최적화된 C4F8방법에 대한 윈도우와 비교된다. C4F8유동을 12 sccm로 20% 감소시키면 어떤 위치에서 구멍을 낼 정도로 심각한 질화물 잘린 면을 형성하는 것(faceting)을 일으킨다. 18 sccm까지의 C4F8유동의 20% 증가는 매우 우수한 질화물 코너 선택도를 제공하지만, 게이트 구조의 측부에서 산화물 일부가 에칭되지 않는 정도로 테이퍼링을 증가시킨다.
비록 주 플루오르화 탄소 가스의 유동이 윈도우 시험에서 변화되지만, 상당한 윈도우들이 다른 방법 요소의 변화에 대하여 존재하도록 방법의 다양한 요소들이 상호 연관되어 있다.
표 7의 기초적인 두 단계 방법은, 주 에칭 및 오버 에칭 두 경우에 있어, 실리콘 링 온도를 255℃까지 낮추도록 변화된다. 얕은 SAC 구조와 큰 SAC 구조 모두에 대하여, 낮은 링 온도는 프로파일 각을 적어도 88℃까지 증가시키고, 트랜치(trench) 하부에서의 질화물의 벽에 형성되는 경향이 있는 측벽 산화물을 제거한다. 질화물 코너 선택도는 어느 정도 감소되지만, 여전히 수용할 수 있을 정도이다.
또 다른 두 실험에서, 오버 에칭 방법은 20 sccm의 C4F6와 5 sccm의 CH2F2를 포함하도록 수정된다. 링에 대하여 300℃, 지붕에 대하여 230℃의 실리콘 온도에서 만족스러운 에칭이 이루어진다. 하지만, 동일한 CH2F2유동에 대하여 링 온도가 245℃로, 지붕 온도가 220℃로 감소된다면, 질화물 코너는 심각하게 잘린 면을 형성한다. 낮은 실리콘 온도에서 CH2F2유동이 20 sccm까지 증가된다면 코너 선택도는 복귀된다. 즉, 열간 실리콘 부분에 의한 실리콘 제거는 CH2F2에 의하여 생성되는 중합체 대신에 교환되어질 수 있다. 오버 에칭에 대한 제 3 및 제 4 변동 방법은 표 9에 나열되어 있다.
제 3 변동 제 4 변동
C4F6유동(sccm) 20 20
CH2F2유동(sccm) 15 5
아르곤 유동(sccm) 100 100
내부 소스 전력(W) 0 0
외부 소스 전력(W) 1600 1600
바이어스 전력(W) 1400 1400
압력(mT) 4.5 4.5
지붕 온도(℃) 200 230
링 온도(℃) 245 300
음극 온도(℃) +10 +10
후면 헬륨 압력(T) 7 7
시간(s) 150 150
얕은 SAC 구조의 1단계 에칭에 적용되는 두가지 변동 방법은 모두 만족스런 에칭을 나타낸다. 질화물 코너 에칭은 쉽게 관측되지 않고, 프로파일 각은 적어도 86°로 오버 에칭에 대하여 수용 가능하다.
두 세트의 실험은 압력 변동에 대한 선택도를 결정하기 위하여 실행된다. 제 1 세트에서, CH2F2없는 주 에칭 방법은 얕은 그리고 키가 큰 SAC 구조 모두의 전체 산화물 층을 에칭하는데 사용된다. 압력은 택일적으로 10, 15 및 20 milliTorr이다. 최소 프로파일 각은 10 milliTorr에서 88°로부터 15 milliTorr에서 87°, 그리고 20 milliTorr에서 86°까지 감소되는데, 이들 모두는 수용 가능한 값들이다. 모든 압력에서 앞서 기술된 하부 측벽 테이퍼링이 관측된다. 중합 CH2F2가 없다면, 특히 낮은 압력에서, 상당하지만 그다지 심각하지 않은 질화물 코너 잘린 면을 형성하는 것(faceting)이 관측되고, 100초(s) 에칭이 하부 질화물 층을 관통하는 경향이 있다. 하지만, 오버 에칭 방법은 주 에칭 방법의 부족한 질화물 선택도를 모면하게 하는 경향이 있다. 즉 10 내지 20 milliTorr의 압력 범위에 대하여 주 에칭은 만족스럽게 행해진다.
제 2세트의 실험에서, 오버 에칭 방법은 짧은 SAC구조를 에칭하고 압력은 택일적으로 4.5 및 7 milliTorr로 설정된다. 다른 압력에서 어떠한 질화물 코너 에칭도 쉽게 관측되지 않는다.
다른 두 불포화 플루오르화 탄소, 트리 플루오르화 프로핀(C3HF3) 및 펜타 플루오르화 프로필렌(C3HF5)으로 실험한 경우에도 유사한 결과들이 예상된다.
또한, 옥타 플루오르화 펜타디엔(C5F8)도, 비록 덜 중합되었지만 C4F6에 대한 결과와 유사한 결과를 가질 것으로 예상된다.
도 11의 통합되는 에칭 방법에서는, 주 에칭(80) 및 오버 에칭(82)이 완성된 후에, 에싱(ashing) 단계(84)가 잔여 포토레지스트 및 증착된 중합체를 일반적으로 바이어스되지 않은 산화물 플라즈마로 제거한다. 그 후, 질화물 에칭 단계(86)가 도 1에 도시된 바와 같이 콘택트 홀(22)의 하부에 잔여하는 질화물 부분(26)을 제거한다. 통상적으로 이 단계에서는 소프트 플라즈마 에칭(soft plasma etch)에서의 아르곤 및 산소와 함께 조합된, CH2F2와 같은 플루오르화 탄소가 사용된다. 산소는 어떠한 질화물 선택도도 파괴한다. Hung 등이 1998년 9월 8일에 출원된, 미국 특허 출원 번호 제 09/149,810호에서 이 최종 단계를 기술하고 있다. 만약 이 구조가, 다른 재료가 사용될 수도 있지만 통상적으로 실리콘 질화물로 형성되는, 반사 금지 코팅(anti-reflective coating, ARC) 또는 하드 마스크(hard mask)를 상부에 포함한다면, 통합 에칭 방법은 ARC 또는 마스크 오프닝 단계로 시작한다.
비록 상기한 주 에칭 단계는 어떠한 중합된 플루오르화 메탄을 사용하지는 않지만, 오버 에칭에 사용되는 플루오르화 메탄의 20% 이하 정도를 주 에칭에서 유동시킴으로써 거의 동일한 효과가 달성될 수 있다고 알려진다.
상기에 보고된 예들은 고밀도 플라즈마를 생성할 수 있는 유도 결합 IPS 반응기에서 얻어진다. 다른 유도 결합 플라즈마 에칭 반응기는 다양한 코일 형태에 따라 유효하다. 현재 유도 결합 HDP 반응기는 바이어스 전력으로부터 소스 전력을 분리하여, 낮은 이온 에너지로 합당한 에칭 속도를 허용하는 장점을 가지고 있다. 예를 들어, 원격 플라즈마 소스(remote plasma source, RPS) 또는 전자 사이클로트론 공명(electron-cyclotron resonance, ECR) 반응기로 소스 전력 및 바이어스 전력을 분리하는 방법이 있다. 본 발명의 많은 특징은, 특히 플라즈마 밀도를 증가시키도록 자기적으로 강화된 용량 결합 플라즈마 반응기로 적용성을 찾는 것이다.
MxP 반응기
상기한 결과는, 소스 및 바이어스 전력을 개별적으로 제어하는 HDP 반응기에서 얻어진다. 본 발명의 적어도 어떤 관점들은, 일반적으로 플라즈마로 작동하나 고밀도를 보장하지는 않는 더욱 전형적인 용량 결합 플라즈마 반응기에 적용된다. 이러한 반응기의 예로는, Applied Materials로부터 유용하며, 도 12의 단면도에 개략적으로 도시된 MxP+와 같은, 자기 강화 반응성 이온 에칭기(magnetically enhanced reactive ion etcher, MERIE)가 있다. 이는 접지된 진공 챔버(90)와 아마도 벽(wall)을 보호하는 라이너(liner)를 포함한다. 웨이퍼(30)는 슬릿 밸브 개구(slit valve opening, 92)를 통하여 챔버(90)에 삽입되고, 웨이퍼를 선택적으로 클램핑하는 정전 척(electrostatic chuck, 96)으로 음극 지지대(94)에 배치된다. 척의 전력원은 도시되지 않았다. 바람직하게는 13.56㎒에서 작동하는 RF 전력 공급기(RF power supply, 98)는 음극 지지대(94)에 연결되고, 상당한 플라즈마 생성을 제공한다. 플라즈마 밀도를 증가시키기 위하여, 도시되지 않은 전류 공급기에 의하여 전력을 공급받는 자기 코일(100)이 챔버(90)을 둘러싸고, 회전하는 수평 자기장을 생성한다. 진공 펌프 시스템(102)은 조정 가능한 스로틀 밸브(adjustable throttle valve, 104)를 통하여 챔버(90)를 펌핑한다. 실드(shield, 106, 108)는 챔버(90) 및 지지대(94)를 보호할 뿐만 아니라, 스로틀 밸브(104)에 연결된 배플(baffle, 110)과 펌핑 채널(112)을 형성한다.
프로세싱 가스가 가스 소스(116, 118)로부터 각각의 질량 유동 제어기(120, 122)를 통하여 수정 가스 배분 플레이트(quartz gas distribution plate, 124)에 공급되는데, 이 수정 가스 배분 플레이트는 프로세싱 영역(126)을 가로지르는 웨이퍼(30) 위에 위치한 챔버 지붕에 배치된다. 상기 배분 플레이트(124)는 매니폴드(128)를 포함하는데, 더욱 일정한 프로세싱 가스 유동을 프로세싱 영역(126)으로 주입시키도록, 상기 매니폴드는 프로세싱 가스를 수용하고, 다수의 배분 개구(130)를 구비하는 샤워 헤드(shower head)를 통하여 프로세싱 영역(126)과 소통된다.
Mxp 프로세스
초기 프로세스 실험은 용량 결합 MxP 반응기에서 헥사 플루오르화 부타디엔을 사용하여 실행되었다. 자기 강화를 하여도 MxP 반응기로는 고밀도 플라즈마를 생성할 수 없다는 것이 공지되어 있다. 즉 여기서 제시된 결과는, 1011-3보다 작은 이온화 밀도를 가진 저밀도 플라즈마를 사용한다. SAC와 같이 향상된 응용예에 적용되는 경우의 C4F8프로세스가 요구되는 질화물 방법을 이루도록 상당한 양의 CO를 필요로 하기 때문에, 표 10에 요약된 것과 같은 CO-농후 방법은 SAC 구조에서 시험되며, 이 SAC 구조는 100㎚의 TEOS 산화물을 구비하는데, 이 TEOS 산화물은 반사 금지 코팅(anti-reflection coating, ARC)으로 작용하고, 상기 TEOS 산화물은 실리카(silica) 형태인 800㎚의 포스포 실리케이트 글래스를 덮는다.
100㎚ 실리콘 질화물 코팅은 게이트 구조 및 에칭될 비아(via)의 하부를 형태에 맞추어 코팅한다. 비아는 0.4㎛의 지름으로 마스크된다.
ARC 열림 주 에칭
C4F8유동(sccm) 25
CF4유동(sccm) 45
C4F6유동(sccm) 10
O2유동(sccm) 5
CO 유동(sccm) 150
Ar 유동(sccm) 250 150
바이어스 전력(W) 1500 1800
자기장(gauss) 20 18
압력(mT) 120 85
음극 온도(℃) +10 +10
후면 헬륨 압력(T) 10 10
시간(s) 30 160
제 1 단계는 TEOS ARC 층을 여는데 사용된다. 제 2 단계는 최종 에칭이고, 에칭 정지없이 질화물에 대한 적당한 선택도를 제공해야만 한다. 결과는 360㎚/min의 적절하게 낮은 에칭 속도를 나타내지만, 질화물 코너 손실은 약 50%의 오버에칭으로써 단지 10에서 20㎚이다. 프로파일 각은 87°이거나 더욱 우수하다. 질화물로 덮인 2개의 게이트 구조 사이의 9㎚ 너비의 갭에서 조차도, 어떠한 에칭 정지도 관측되지 않는다. 이러한 우수한 결과는 프로세스를 최적화하려는 시도없이도 얻어진다. 일산화 탄소가 농후한 경우의 방법에서도, CO 유동은 전체 가스 유동의 50%보다 작고 그리고 Ar 유동 이하이다.
CO와 관련된 문제의 관점에서, 일련의 실험들이 C4F6및 Ar만을 사용하여 시험 웨이퍼 상에서 실행되는데, 상기 시험 웨이퍼는 일정한 BPSG 층으로 덮여 있고, 그 위에 TEOS ARC 층으로 덮여 있으며, 그리고 0.4㎛ 비아 홀을 위해 마스킹된다. 주 에칭에 대한 다른 프로세스 조건은 표 10의 것들이다. 다른 조건들은 네 개의 실험에 대하여 표 11에 나열되어 있다.
1 2 3 4
C4F6유동(sccm) 4 4 7 7
Ar유동(sccm) 295 150 80 150
압력(mT) 85 85 50 50
첫 번째 두 실험은 85 milliTorr의 상대적으로 높은 압력과 C4F64 sccm에서 행해진다. 295 sccm의 보다 높은 아르곤 유동에서 프로파일 각은 87°이고, 150 sccm의 Ar에서는 약 85°이다. 두 번째 두 실험은 50 milliTorr의 약간 낮은 압력 및 C4F67 sccm에서 행해진다. 150 sccm의 보다 높은 아르곤 유동에서 프로파일 각은 85°이고, 80 sccm의 아르곤에서는 약 83°이다. 어떠한 경우에서도, 약 1.1㎛의 BPSG를 통하여 에칭한 후에 에칭 정지는 관측되지 않는다. 결과는 상대적으로 큰 아르곤 유동, 특히 C4F6에 대하여 큰 아르곤 유동이 더욱 수직인 프로파일을 생성한다는 것이다. 또한 상기 결과들은 고압에서 보다 나은 프로파일을 보인다.
또 다른 실험은 아르곤이 없는 큰 C4F6유동은 에칭 정지를 일으킨다는 것을 나타낸다. 50 milliTorr에서 심지어 10 sccm의 C4F6및 150 sccm의 Ar은 에칭 정지를 일으킨다. 다음 실험 결과에 의하여 나타나는 것처럼, 아르곤이 없는 경우에 일산화 탄소는 에칭 정지를 방지할 수 없는 것처럼 보인다. 주 에칭 단계는 4 sccm의 C4F6, 295 sccm의 CO 및 295 sccm Ar이나 Ar 없이 행해진다. 아르곤이 없는 경우, 약 0.4㎛ 비아 홀의 중간 정도(half-way) 아래에서 에칭 정지가 관측된다. 아르곤이 있는 경우, 약 85°의 프로파일 각으로 계속하여 에칭된다. 그러므로, 에칭 정지를 막기 위해서는, Ar유동이 C4F6유동의 적어도 20배 정도여야 한다. 또한 보다 높은 아르곤 유동은 일정도를 향상시킨다.
그런 후에, CO-비함유 방법이 SAC 구조에서 실험되는데, 이 방법은 일반적으로 표 10의 두 단계 방법을 사용하지만, 50 milliTorr에서 7 sccm의 C4F6, 150 sccm의 Ar 그리고 CO 와 O2가 없는 주 에칭에 사용된다. 에칭 속도는 320㎚/min이고 테이퍼 프로파일은 85°보다 작다. 코너 질화물 선택도는 게이트 금속을 노출시키는 만족스럽지 못한 것이지만, 하부 질화물 선택도는 우수하다. 질화물로 덮인 게이트 구조사이의 갭이 4㎚일 때조차도, 어떠한 에칭 정지도 관측되지 않는다. 더 최적화함으로서, 만족스러운 CO 비함유 프로세스가 MxP에 대하여 행해질 수 있다. 질화물 코너 선택도는 더욱 중합된 가스, 예를 들면 CH2F2와 같은 플루오르화 탄화 수소를 포함함으로써 향상될 수도 있다. 추가적인 중합이 일으킬 수도 있는 에칭 정지 문제는, N2또는 O2를 사용함으로써 상쇄될 수 있다. 만약 CO가 C4F6와 Ar의 선택도를 향상하도록 사용된다면, CO 유동 분율은 C4F6보다 작게, 실질적으로 50%보다도 더 작게 될 수도 있다.
비록 유효 압력 범위는 연구되지 않았지만, 저밀도 플라즈마에서의 유효 프로세스는 20에서 150 milliTorr의 범위에서 성립될 수 있다. 200㎜ 웨이퍼에 정규화되어져야 하는 바이어스 전력은, 주로 바람직한 고 전력 범위에서 산화물 에칭 속도에 영향을 미친다. 1000W 및 1500W사이에서, 증가된 전력은 산화물 에칭 속도를 증가시키지 않고 질화물 선택도를 감소시킨다. 1500W 및 1800W사이에서, 질화물 선택도의 약간의 변화로도 산화물 에칭 속도는 증가된다.
본 발명의 일면에서, 본 발명의 에칭 가스는 플루오르화 탄소 가스 및 화학적으로 불활성인 가스를 기본적(essentially)으로 구성하는 것을 특징으로 한다. 플루오르화 탄소 가스는 주로 헥사 플루오르화 부타디엔 또는 관련 가스의 주요 분율로 구성되고, 추가적으로 다른 수소 비함유 플루오르화 탄소 가스 또는 플루오르화 탄화수소 가스를 포함할 수도 있다. 협소한 구멍에서 에칭 정지 없이 선택도를 달성하기 위하여, 화학적으로 불활성인 가스의 양은, 고밀도 플라즈마에서의 플루오르화 탄소 가스 양보다 훨씬 커야 하고, 저밀도 플라즈마에서는 플루오르화 탄소 가스 양의 20배보다도 커야만 한다. CO 또는 질소는 약간 요구되거나 또는 거의 요구되지 않는다. 소정량의 CO가 부가되는 경우에도, 특히 HDP 프로세스에서는 C4F6의 양의 반보다도 적고, 그리고 용량적으로 결합되는 프로세스에서는 전체 유동의 반보다 적은 양의 CO가 부가되는 것이 물론 중요하다. 선택도를 위하여 보다 적은 양의 Ar 및 상당한 양의 CO에 의존하는 Araki 등에 의하여 제시된 화학 반응과는 다르다.
비록 아르곤은 일반적인 화학적 불활성 운송 가스이지만, 네온, 크립톤, 및 제논 등의 희귀 가스와 같은 다른 가스들로 대체될 수 있다. Hung 등이 "ENHANCEMENT OF SILICON OXIDE ETCH RATE AND SUBSTRATE SELECTIVITY WITH XENON ADDITION"이라는 제목으로 동시에 출원한, 전체적으로 본원에 참조되는 미국 특허 출원 제 09/276,376호에는 특히 C4F6와 조합된 불활성 희석화 가스로써 제논을 사용하여 예기치 않던 우수한 산화물 에칭 결과가 나타나 있다.
비록 상기한 내용은 질화물에 대한 선택도에 집중되어 있지만, 대체로 선택적인 산화물 에칭에 포함되는 메카니즘은 재료들이 관여되는 한, 층들이 상당한 양의 산소를 포함할 것인지 그렇지 않은지에 의존한다. 그러므로 동일한 화학 반응은 비산화물 층 또는 구조(feature) 위의 산화물을 에칭하는데 적용된다. 실리콘은 접촉(contact) 에칭에서 발견되는 그러한 재료의 하나이다.
비록 위의 결과는 SAC 구조에서 행해진 실험으로부터 얻어지는 것이지만, 높은 질화물 코너 선택도가 요구되는 다른 구조가 있다. 한 쌍의 예는 질화물 층이 마스크로 사용되는 구조를 포함한다. 첫번째 예는 질화물 층이 포토레지스트 층 및 산화물 층 사이에 배치되는 하드 마스크이다. 제 1 에칭 단계는 포토레지스트 패턴을 하드 마스크에 에칭한다. 그런 후에, 제 2 에칭 단계는 하드 마스크의 패턴에 따라, 산화물 층을 에칭한다. 제 2 단계에서, 포토레티스트 선택도는 요구되지 않지만 하드 마스크의 질화물 코너는 제 2단계의 대부분에 걸쳐 노출되어, 높은 질화물 코너 선택도가 요구된다. 두번째 예는 Wang 등이 1998년 11월 5일에 출원한 미국 특허 출원 번호 제 08/964,504호에서 기술된, 자기 정렬 국부 연결(self-aligned local interconnect, SALI)이다. SALI 구조에서 게이트 구조를 덮고 있는 질화물은 완전히 노출될 수도 있고, 추가적으로 평면 하부 질화물이 장기간 노출된다. 세 번째 예는 Tang 등이 1998년 7월 9일에 출원한 미국 특허 출원 번호 제 09/112,864호에 기술된, 이중 상감 구조(dual-damascene structure)이다. 질화물은 정지 층으로써 작동하기 때문에 때때로 초기 에칭에 의하여 조합되는 에칭 단계는 하부 산화물 층을 하나의 구조(feature)로 에칭할 수 있고, 동시에 상부 산화물 층을 연결된 보다 큰 구조로 에칭할 수 있다. 패턴화된 질화물 층은 정지 층(stop layer) 또는 중간 마스크(intermediate mask)로서 작용한다. 중간 질화물 층은 실질적으로 평면이지만, 하부 유전체 층으로 개방되는 개구를 둘러싸는 코너를 구비함으로, 높은 질화물 선택도가 요구된다.
즉, 본 발명의 산화물 에칭 방법는 상대적으로 반도체 프로세스에서는 신규하지만 상업적으로 유용한 가스를 사용함으로써, 우수한 에칭 특성을 제공한다. 다른 프로세스 파라미터는 상업적으로 유용한 플라즈마 반응기에서 이루어질 수 있다.

Claims (75)

  1. 비 산화물 층 위의 산화물 층을 에칭하기 위한 산화물 에칭 방법으로서:
    헥사 플루오르화 부타디엔, 헥사 플루오르화 시클로부텐, 및 헥사 플루오르화 벤젠으로 구성되는 그룹으로부터 선택되는 중(重) 플루오르화 탄소를 포함하고 화학적 불활성 가스를 포함하는 에칭 가스 혼합제를 플라즈마 반응 챔버로 유입시키는 단계;
    질화물 층을 덮고 있는 산화물 층을 구비하는 기판을 지지하는 지지대 전극을 RF 바이어싱하는 단계; 및
    상기 비산화물 층에 대하여 선택적으로 상기 산화물 층을 에칭하도록, 상기 에칭 가스 혼합제를 플라즈마로 여기시키는 단계를 포함하고,
    상기 화학적 불활성 가스의 희석화 유동이 상기 중 플루오르화 탄소의 활성 유동과 같거나 보다 큰 산화물 에칭 방법.
  2. 제 1항에 있어서, 상기 비산화물 층이 질화물 코너를 구비하는 질화물 층인 산화물 에칭 방법.
  3. 제 1항에 있어서, 상기 중 플루오르화 탄소가 헥사 플루오르화 부타디엔인 산화물 에칭 방법.
  4. 제 1항에 있어서, 상기 플라즈마로부터 플루오르를 소거하도록 상기 챔버 내 실리콘 함유 표면을 225℃ 또는 그 이상의 온도로 유지하는 단계를 더 포함하는 산화물 에칭 방법.
  5. 제 1항에 있어서, 상기 여기 단계가, 상기 지지대를 RF바이어싱하는 전력 공급기와는 별도의 분리된 전력 공급기로부터, 상기 에칭 가스 혼합제에 발진 전력을 인가하는 단계를 포함하는 산화물 에칭 방법.
  6. 제 5항에 있어서, 상기 발진 전력을 인가하는 단계가 상기 챔버에 인접한 유도 코일에 RF 전력을 인가하는 단계를 포함하는 산화물 에칭 방법.
  7. 제 2항 또는 3항에 있어서, 상기 중 플루오르화 탄소가 헥사 플루오르화 부타디엔을 포함하고, 상기 희석화 유동이 상기 활성 유동의 네 배 또는 그 이상인 산화물 에칭 방법.
  8. 제 1항에 있어서, 상기 지지대 전극을 바이어싱하는 단계가, 상기 에칭 가스 혼합제를 상기 플라즈마로 여기시키고, 다른 실질적인 전력 소스가 상기 가스 혼합제에 인가되지 않는 산화물 에칭 방법.
  9. 제 8항에 있어서, 상기 중 플루오르화 탄소가 헥사 플루오르화 부타디엔을 포함하는 산화물 에칭 방법
  10. 제 9항에 있어서, 상기 희석화 유동이 상기 활성 유동의 15배보다 큰 산화물 에칭 방법.
  11. 제 1항에 있어서, 상기 에칭 가스 혼합제는 두 개 이하의 수소 원자를 구비하는 하이드로 플루오르화 메탄(hydrofluoromethane)을 더 포함하는 산화물 에칭 방법.
  12. 제 11항에 있어서, 상기 하이드로 플루오르화 메탄(hydrofluoromethane)이 다이 플루오르화 메탄을 포함하는 산화물 에칭 방법.
  13. 제 11항에 있어서, 상기 하이드로 플루오르화 메탄이 트리 플루오르화 메탄을 포함하는 산화물 에칭 방법.
  14. 제 11항에 있어서, 상기 질화물 층에 대한 기설정된 선택도를 얻도록, 225℃ 또는 그 이상의 상기 실리콘 함유 표면 온도 상승과, 상기 하이드로 플루오르화 메탄(hydrofluoromethane) 유동의 상승과의 균형을 이루는 단계를 더 포함하는 산화물 에칭 방법.
  15. 제 12항에 있어서, 상기 중 플루오르화 탄소가 헥사 플루오르화 부타디엔을 포함하는 산화물 에칭 방법.
  16. 제 15항에 있어서, 상기 헥사 플루오르화 부타디엔의 활성 유동 및 상기 다이 플루오르화 메탄의 유동이, 23리터인 상기 챔버의 체적에 대하여 정규화되어 각각 10 sccm 내지 25 sccm의 범위와 5 sccm 내지 20 sccm의 범위 내에 있는 산화물 에칭 방법.
  17. 제 1항에 있어서, 상기 화학적 불활성 가스의 양이 상기 중 플루오르화 탄소의 양의 네 배 또는 그 이상인 산화물 에칭 방법.
  18. 제 1항에 있어서, 상기 챔버 내의 압력을 30 milliTorr 이하로 유지하는 것을 더 포함하는 산화물 에칭 방법.
  19. 질화물 코너를 가진 질화물 층을 덮고 있는 산화물 층에, 최소 너비가 0.4㎛ 이하이고 깊이는 0.7㎛ 또는 그 이상인 홀을 에칭하는 방법으로서:
    헥사 플루오르화 부타디엔, 헥사 플루오르화 시클로부텐 및 헥사 플루오르화 벤젠으로 구성되는 그룹으로부터 선택되는 주 플루오르화 탄소의 첫 번째 양과, 화학적으로 불활성인 희석화 가스의 두 번째 양을 포함하는 제 1 가스 혼합제를 플라즈마 반응기로 유입시키는 단계;
    질화물 층을 덮고 있는 산화물 층에 에칭될 구멍을 규정하도록, 질화물 층을 덮고 있는 상기 산화물 층을 포함하고 그리고 상기 산화물 층을 덮고 있는 마스크 층을 포함하는 기판을 지지하는 지지대 전극을 제 1 발진 전력 공급기로부터 바이어싱하는 단계; 및
    상기 질화물 층에 대하여 선택적으로 상기 산화물 층을 에칭하도록, 제 2 발진 전력 공급기로부터 상기 제 1 가스 혼합제를 플라즈마로 여기시키는 단계를 포함하고;
    상기 첫 번째 양 및 두 번째 양과, 그리고 상기 제 1 및 제 2 전력 공급기의 전력 수준은, 상기 첫 번째 양의 ±20% 내의 프로세스 윈도우를 제공하도록 선택되며, 상기 프로세스 윈도우에서는 질화물에 대한 산화물 에칭 선택도가 10 또는 그 이상이고 상기 홀에서 어떠한 에칭 정지도 발생하지 않는 방법.
  20. 제 19항에 있어서, 상기 챔버 내 실리콘 함유 표면을 200℃ 또는 그 이상의 온도로 유지하는 단계를 부가적으로 포함하는 방법.
  21. 제 19항에 있어서, 상기 주 플루오르화 탄소가 헥사 플루오르화 부타디엔을 포함하는 방법.
  22. 제 21항에 있어서, 두 번째 양이 상기 첫 번째 양보다 큰 방법.
  23. 제 19항에 있어서, 상기 가스 혼합제가, 상기 첫 번째 플루오르화 탄소보다 더욱 중합된 부 플루오르화 탄소 또는 플루오르화 탄화 수소의 세 번째 양을 더 포함하는 방법.
  24. 산화물 층을 통하여 기저 비산화물 층까지 홀을 에칭하는 방법으로서:
    헥사 플루오르화 부타디엔의 첫 번째 양과 화학적으로 불활성인 희석화 가스의 두 번째 양을 포함하는 첫 번째 가스 혼합제를 플라즈마 반응기로 유입시키는 단계;
    질화물 층을 덮고 있는 산화물 층에 에칭될 홀을 규정하도록, 질화물 층을 덮고 있는 상기 산화물 층을 포함하고 그리고 상기 산화물 층을 덮고 있는 마스크 층을 포함하는 기판을 지지하는 지지대 전극을 제 1 발진 전력 공급기로부터 제 1 전력 수준으로 바이어싱하는 단계;
    상기 산화물 층을 에칭하도록, 제 2 전력 수준으로 제 2 발진 전력 공급기로부터 상기 제 1 가스 혼합제를 플라즈마로 여기시키는 단계; 및
    상기 비산화물 층에 도달되기 전에, 상기 제 1 및 제 2 전력 수준 중의 하나 또는 모두를 감소시키는 단계를 포함하는 에칭 방법.
  25. 실리콘 함유 비산화물 층 위의 산화물 층을 에칭하는 방법으로서:
    헥사 플루오르화 부타디엔, 헥사 플루오르화 시클로부텐 및 헥사 플루오르화 벤젠 중의 하나 또는 그 이상을 포함하는 플루오르화 탄소의 첫 번째 양과, 화학적 불활성 가스의 두번째 양을 포함하지만, 일산화 탄소를 포함하지 않는 첫번째 가스의 혼합제를 플라즈마 반응기로 유입시키는 단계;
    상기 비산화물 층 위에 상기 산화물 층을 포함하는 기판을 지지대 전극 상에서 지지하는 단계; 및
    상기 비산화물 층에 대하여 선택적으로 상기 산화물 층을 에칭하도록 상기 지지대 전극에 RF 전력을 인가하는 단계를 포함하는, 상기 가스 혼합제를 플라즈마로 여기시키는 단계를 포함하는 방법.
  26. 제 25항에 있어서, 상기 여기 단계가 원격적으로 상기 가스 혼합제를 플라즈마로 여기시키는 단계를 포함하는 방법.
  27. 제 26항에 있어서, 상기 원격적으로 여기시키는 단계가, 상기 반응기로 RF 전력을 유도 결합하는 단계를 포함하는 방법.
  28. 용량 결합 반응기에서 실리콘 함유 비산화물 층 위의 산화물 층을 에칭하는 방법으로서:
    헥사 플루오르화 부타디엔, 헥사 플루오르화 시클로부텐 및 헥사 플루오르화 벤젠 중의 하나 또는 그 이상을 포함하는 플루오르화 탄소의 첫 번째 양과, 첫 번째 양의 15배 또는 그 이상인 화학적 불활성 가스의 두 번째 양을 포함하는 가스 혼합제를 플라즈마 반응기로 유입시키는 단계; 및
    상기 비산화물 층 위로 상기 산화물 층을 포함하는 기판을 지지대 전극상에서 지지하는 단계; 그리고
    상기 가스 혼합제를 플라즈마로 여기시켜, 상기 비산화물 층에 대하여 선택적으로 상기 산화물 층을 에칭하도록, RF 전력을 상기 지지대 전극에 인가시키는 단계를 포함하는 에칭 방법.
  29. 제 28항에 있어서, 상기 플라즈마를 포함하는 상기 반응기의 영역으로 수평 자기장을 인가하는 단계를 더 포함하는 방법.
  30. 제 28항에 있어서, 유도적으로 결합되는 RF 전력을 사용하지 않고 상기 가스 혼합제를 상기 플라즈마로 여기시키는 방법.
  31. 제 28항에 있어서, 상기 플루오르화 탄소 가스가 C4F6를 포함하는 방법.
  32. 제 28항에 있어서, 상기 가스 혼합제에 CO가 포함되지 않는 방법.
  33. 제 28항에 있어서, 상기 가스 혼합제가, 상기 두 번째 양 이하인 세 번째 양의 CO를 포함하는 방법.
  34. 제 28항에 있어서, 상기 챔버 내 압력을 50 milliTorr보다 높게 유지하는 단계를 더 포함하는 방법.
  35. 기판에 형성되는 비산화물 코너를 구비하는 기저 구조물 위의 산화물 층을 에칭하기 위한 산화물 에칭 방법으로서:
    (a) 헥사 플루오르화 부타디엔, 옥타 플루오르화 펜타디엔, 펜타 플루오르화 프로필렌 및 트리 플루오르화 프로핀으로 구성되는 그룹으로부터 선택되는 불포화 플루오르화 탄소 또는 불포화 하이드로 플루오르화 탄소,
    (b) 모노 플루오르화 메탄 및 다이 플루오르화 메탄으로 구성되는 그룹으로부터 선택되는 하이드로 플루오르화 메탄(hydrofluoromethane), 및
    (c) 화학적 불활성 운송 가스를 포함하는 에칭 가스 혼합제를 플라즈마 반응 챔버 내로 유입시키는 단계와; 그리고
    상기 비산화물 코너에 대하여 선택적으로 상기 산화물 층을 에칭하도록 상기 에칭 가스 혼합제를 플라즈마로 여기시키는 단계를 포함하는 산화물 에칭 방법.
  36. 제 35항에 있어서, 상기 비산화물 코너가 실리콘 질화물을 포함하는 에칭 방법.
  37. 제 35항에 있어서, 상기 운반 가스가 아르곤을 포함하는 에칭 방법.
  38. 제 37항에 있어서, 상기 하이드로 플루오르화 메탄(hydrofluoromethane)이 다이 플루오르화 메탄을 포함하는 에칭 방법.
  39. 제 35항에 있어서, 상기 불포화 플루오르화 탄소가 헥사 플루오르화 부타디엔을 포함하는 에칭 방법.
  40. 제 39항에 있어서, 상기 불포화 플루오르화 탄소 또는 불포화 하이드로 플루오르화 탄소의 양이 상기 불포화 플루오르화 탄소 또는 불포화 하이드로 플루오르화 탄소의 양에서 ±15% 또는 그 이상의 프로세스 윈도우를 제공하도록 선택되는 에칭 방법.
  41. 제 35항에 있어서, 상기 유입 단계는 제 2 유입 단계이고, 상기 에칭 가스 혼합제는 두 번째 에칭 가스 혼합제이며, 상기 플라즈마는 두 번째 플라즈마이고, 상기 제 2 유입 단계 및 상기 여기 단계 전에 실행되는 단계로서:
    (a) 상기 불포화 플루오르화 탄소 또는 불포화 하이드로 플루오르화 탄소, 및
    (b) 상기 화학적 불활성 운송 가스 및 상기 제 2 유입 단계에서보다 상당히 작은 플루오르화 메탄을 포함하는 첫 번째 가스 혼합제를 상기 플라즈마 반응기 챔버로 유입시키는 제 1 유입 단계; 및
    상기 산화물 층을 에칭하도록 상기 첫 번째 가스 혼합제를 첫 번째 플라즈마로 여기시키는 제 1 여기 단계를 더 포함하는 에칭 방법.
  42. 제 41항에 있어서, 상기 두 번째 플라즈마보다 상기 첫 번째 플라즈마가 상기 산화물 층에 더욱 수직인 프로파일을 생성하고, 상기 두 번째 플라즈마가 상기 첫 번째 플라즈마보다 상기 비산화물 코너에 대하여 더욱 선택적인 에칭 방법.
  43. 제 35항에 있어서, 상기 유입 단계가 상기 불포화 플루오르화 탄소 또는 불포화 하이드로 플루오르화 탄소보다는 보다 적은 상기 하이드로 플루오르화 메탄과 그리고 보다 많은 상기 화학적 불활성 운송 가스를 유동시키는 에칭 방법.
  44. 제 35항에 있어서, 상기 반응 챔버에서의 압력을 20 milliTorr 이하로 유지시키는 단계를 더 포함하는 에칭 방법.
  45. 제 35항에 있어서, 상기 반응 챔버의 실리콘 표면을 245℃ 또는 그 이상의 온도로 유지하는 단계를 더 포함하는 에칭 방법.
  46. 제 35항에 있어서, 상기 여기 단계는 상기 기판으로부터 떨어진 소스 영역에서 상기 플라즈마를 여기시키고, 상기 기판을 지지하는 지지대 전극을 RF바이어싱 전력으로 RF바이어싱하는 단계를 더 포함하는 에칭 방법.
  47. 제 46항에 있어서, 상기 여기 단계가 상기 챔버로 RF 플라즈마 소스 전력을 유도 결합하는 단계를 포함하는 에칭 방법.
  48. 제 47항에 있어서, 상기 RF 바이어싱 전력이 상기 RF 플라즈마 소스 전력의 50%와 150% 사이에 있는 에칭 방법.
  49. 제 47항에 있어서, 상기 RF 플라즈마 소스 전력을 상기 챔버에 유도적으로 결합하는 단계가, 상기 기판 위에 놓인 영역의 내부 부분보다는 상기 기판위에 놓인 영역의 외곽 부분으로 상당히 더 많은 전력을 결합시키는 에칭 방법.
  50. 기판에 형성되는 기저 비산화물 층위의 산화물 층을 에칭하는 산화물 에칭 방법으로서:
    (a) 헥사 플루오르화 부타디엔, 옥타 플루오르화 펜타디엔, 펜타 플루오르화 프로필렌 및 트리 플루오르화 프로핀으로 구성되는 그룹으로부터 선택되는 불포화 플루오르화 탄소 또는 불포화 하이드로 플루오르화 탄소,
    (b) 모노 플루오르화 메탄 및 다이 플루오르화 메탄으로 구성되는 그룹으로부터 선택되는 하이드로 플루오르화 메탄(hydrofluoromethane), 및
    (c) 화학적 불활성 운송 가스를 포함하는 에칭 가스 혼합제를 플라즈마 반응 챔버로 유입시키는 단계;
    지지대 전극을 RF바이어싱하는 단계; 및
    상기 비산화물 코너에 대한 높은 선택도로 상기 산화물 층을 에칭하도록, 상기 지지대 전극으로부터 떨어진 플라즈마 소스에서 상기 에칭 가스 혼합제를 플라즈마로 여기시키는 단계를 포함하는 산화물 에칭 방법.
  51. 제 50항에 있어서, 상기 플라즈마는 고밀도 플라즈마인 에칭 방법.
  52. 제 50항에 있어서, 상기 여기 단계는 RF 에너지를 상기 플라즈마 소스 영역으로 유도적으로 결합하는 에칭 방법.
  53. 제 50항에 있어서, 상기 비산화물 층이 실리콘 질화물을 포함하는 에칭 방법.
  54. 제 50항에 있어서, 상기 불포화 플루오르화 탄소가 헥사 플루오르화 부타디엔을 포함하는 에칭 방법.
  55. 제 50항에 있어서, 상기 불포화 하이드로 플루오르화 탄소가 펜타 플루오르화 프로필렌을 포함하는 에칭 방법.
  56. 제 50항에 있어서, 상기 불포화 하이드로 플루오르화 탄소가 트리 플루오르화 프로핀을 포함하는 에칭 방법.
  57. 기판에 형성되는 비산화물 층위의 산화물 층을 에칭하는 방법으로서:
    펜타 플루오르화 프로필렌 및 트리 플루오르화 프로핀으로 구성되는 그룹으로부터 선택되는 불포화 3-탄소 하이드로 플루오르화 탄소의 첫 번째 양과, 화학적 불활성 운송 가스로 상기 첫 번째 양의 네 배 또는 그 이상인 두 번째 양을 포함하는 에칭 가스 혼합제를 플라즈마 반응 챔버 내로 유입시키는 단계; 및
    상기 비산화물 층에 대하여 선택적으로 상기 산화물 층을 선택적으로 에칭하도록, 상기 에칭 가스 혼합제를 플라즈마로 여기시키는 단계를 포함하는 에칭 방법.
  58. 제 57항에 있어서, 상기 불포화 하이드로 플루오르화 탄소가 펜타 플루오르화 프로필렌을 포함하는 에칭 방법.
  59. 제 57항에 있어서, 상기 불포화 하이드로 플루오르화 탄소가 트리 플루오르화 프로핀을 포함하는 에칭 방법.
  60. 제 57항에 있어서, 상기 기판을 지지하는 지지대 전극을 RF바이어싱하는 단계를 더 포함하고, 상기 여기 단계가 상기 지지대 전극으로부터 떨어진 플라즈마 소스 영역에서 상기 플라즈마를 여기하는 에칭 방법.
  61. 제 60항에 있어서, 상기 여기 단계가 상기 챔버에서 플라즈마 소스 영역으로 RF 플라즈마 소스 전력을 유도적으로 결합하는 단계를 포함하는 에칭 방법.
  62. 제 60항에 있어서, 상기 에칭 가스 혼합제가 모노 플루오르화 메탄 및 다이 플루오르화 메탄으로 구성되는 그룹으로부터 선택되는 하이드로 플루오르화 메탄을 부가적으로 포함하는 에칭 방법.
  63. 제 57항에 있어서, 상기 유입 단계가 부가적으로 그리고 순차적으로 상기 에칭 가스 혼합제를 유입시키고, 그런 다음 상기 불포화 3-탄소 하이드로 플루오르화 탄소보다 더욱 강하게 중합되는 플루오르화 탄소 가스를 유입시키는 단계를 포함하는 에칭 방법.
  64. 제 63항에 있어서, 상기 플루오르화 탄소 가스가 모노 플루오르화 메탄 및 다이 플루오르화 메탄 중 하나 또는 모두를 포함하는 에칭 방법.
  65. 제 64항에 있어서, 상기 플루오르화 탄소 가스가 다이 플루오르화 메탄을 포함하는 에칭 방법.
  66. 기판에 형성되는 비산화물 코너를 가진 기저 구조위의 산화물 층을 에칭하는 산화물 에칭 방법으로서:
    헥사 플루오르화 부타디엔, 옥타 플루오르화 펜타디엔, 펜타 플루오르화 프로필렌, 및 트리 플루오르화 프로핀으로 구성되는 그룹으로부터 선택되는 불포화 플루오르화 탄소 또는 불포화 하이드로 플루오르화 탄소, 그리고 화학적으로 불활성인 가스를 포함하는 제 1 에칭 가스 혼합제를 플라즈마 챔버로 유입시키는 제 1 유입 단계;
    상기 제 1 에칭 가스 혼합제를, 상기 산화물 층을 상당히 수직인 프로파일로 에칭하는 첫 번째 플라즈마로 여기시키는 제 1 여기 단계;
    상기 제 1 유입 단계에 순차적으로 행해지는 제 2 유입 단계로, 하이드로 플루오르화 메탄(hydrofluoromethane) 및 화학적 불활성 가스를 포함하는 제 2 에칭 가스 혼합제를 상기 플라즈마 챔버로 유입시키는 제 2 유입 단계; 및
    상기 제 2 에칭 가스 혼합제를, 상기 비산화물 코너에 대하여 강화된 선택도로 상기 산화물 층을 에칭하는 두 번째 플라즈마로 여기시키는 제 2 여기 단계를 포함하는 산화물 에칭 방법.
  67. 제 66항에 있어서, 상기 두 번째 에칭 가스가 상기 불포화 플루오르화 탄소또는 불포화 하이드로 플루오르화 탄소를 부가적으로 포함하는 산화물 에칭 방법.
  68. 제 67항에 있어서, 상기 제 2 유입 단계에서 불포화 플루오르화 탄소 또는 불포화 하이드로 플루오르화 탄소의 유동이 상기 하이드로 플루오르화 메탄(hydrofluoromethane)의 유동보다 큰 산화물 에칭 방법.
  69. 제 68항에 있어서, 상기 제 2 유입 단계에서 상기 화학적 불활성 가스의 유동이, 상기 불포화 플루오르화 탄소 또는 불포화 하이드로 플루오르화 탄소, 및 하이드로 플루오르화 메탄(hydrofluoromethane)의 유동의 합보다 큰 산화물 에칭 방법.
  70. 제 69항에 있어서, 상기 제 1 유동 단계에서 상기 화학적 불활성 가스의 유동이 상기 불포화 플루오르화 탄소 또는 불포화 하이드로 플루오르화 탄소의 유동보다 큰 산화물 에칭 방법.
  71. 제 66항에 있어서, 상기 불포화 플루오르화 탄소가 헥사 플루오르화 부타디엔을 포함하는 산화물 에칭 방법.
  72. 제 66항에 있어서, 상기 하이드로 플루오르화 메탄(hydrofluoromethane)이 다이 플루오르화 메탄을 포함하는 산화물 에칭 방법.
  73. 제 66항에 있어서, 상기 제 1 및 제 2 여기 단계 동안, 상기 플라즈마 챔버 내 실리콘 표면을 245℃ 또는 그 이상의 온도로 유지하는 단계를 더 포함하는 산화물 에칭 방법.
  74. 제 35항에 있어서, 상기 불포화 하이드로 플루오르화 탄소가 펜타 플루오르화 프로필렌을 포함하는 방법.
  75. 제 35항에 있어서, 상기 불포화 하이드로 플루오르화 탄소가 트리 플루오르화 프로핀을 포함하는 방법.
KR10-2001-7006199A 1998-11-16 1999-11-16 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스 KR100430046B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/193,056 US6174451B1 (en) 1998-03-27 1998-11-16 Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US09/193,056 1999-03-25
US09/276,311 1999-03-25
US09/276,311 US6387287B1 (en) 1998-03-27 1999-03-25 Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window

Publications (2)

Publication Number Publication Date
KR20010080467A KR20010080467A (ko) 2001-08-22
KR100430046B1 true KR100430046B1 (ko) 2004-05-03

Family

ID=26888638

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-7006199A KR100430046B1 (ko) 1998-11-16 1999-11-16 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스

Country Status (5)

Country Link
US (1) US6387287B1 (ko)
JP (1) JP2002530863A (ko)
KR (1) KR100430046B1 (ko)
TW (3) TW200401049A (ko)
WO (1) WO2000030168A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120098525A (ko) * 2011-02-28 2012-09-05 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 반도체 장치의 제조 방법 그리고 컴퓨터 기억 매체
KR101366429B1 (ko) * 2011-09-16 2014-02-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에칭 방법 및 장치

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6828250B1 (en) * 2000-10-13 2004-12-07 Lam Research Corporation Process for etching vias in organosilicate glass materials without causing RIE lag
JP3330554B2 (ja) * 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6530380B1 (en) * 1999-11-19 2003-03-11 Chartered Semiconductor Manufacturing Ltd. Method for selective oxide etching in pre-metal deposition
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
JP4839506B2 (ja) * 2000-04-28 2011-12-21 ダイキン工業株式会社 ドライエッチング方法
JP5569353B2 (ja) * 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
JP4566373B2 (ja) * 2000-09-21 2010-10-20 東京エレクトロン株式会社 酸化膜エッチング方法
DE10053780A1 (de) * 2000-10-30 2002-05-16 Infineon Technologies Ag Verfahren zur Strukturierung einer Siliziumoxid-Schicht
US6716302B2 (en) 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6787475B2 (en) * 2001-09-06 2004-09-07 Zhuxu Wang Flash step preparatory to dielectric etch
US7115518B2 (en) * 2001-10-02 2006-10-03 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device comprising forming holes in a multi-layer insulating film
JP3961262B2 (ja) * 2001-10-31 2007-08-22 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー X線発生装置
KR100780594B1 (ko) * 2001-11-19 2007-11-29 주식회사 하이닉스반도체 반도체장치의 건식 식각 방법
TWI301644B (en) * 2001-12-13 2008-10-01 Applied Materials Inc Self-aligned contact etch with high sensitivity to nitride shoulder
US7129178B1 (en) * 2002-02-13 2006-10-31 Cypress Semiconductor Corp. Reducing defect formation within an etched semiconductor topography
JP2003282540A (ja) * 2002-03-25 2003-10-03 Tokyo Electron Ltd プラズマエッチング方法
US6593232B1 (en) * 2002-07-05 2003-07-15 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etch method with enhanced endpoint detection
JP4164643B2 (ja) * 2002-07-17 2008-10-15 日本ゼオン株式会社 ドライエッチング方法及びパーフルオロ−2−ペンチンの製造方法
DE10240106A1 (de) * 2002-08-30 2004-03-11 Infineon Technologies Ag Ausbildung einer elektrischen Verbindung zwischen Strkturen in einem Halbleitersubstrat
DE10245671B4 (de) * 2002-09-30 2004-08-26 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur durch selektives isotropes Ätzen einer Siliziumdioxidschicht auf einer Siliziumnitridschicht
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
KR100539444B1 (ko) * 2003-07-11 2005-12-27 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US20060138085A1 (en) * 2004-12-23 2006-06-29 Chun-Hsien Chien Plasma etching method with reduced particles production
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
DE102005025116B4 (de) * 2005-05-27 2013-04-25 Qimonda Ag Verfahren zum Herstellen einer Struktur
KR100728164B1 (ko) * 2005-09-26 2007-06-13 삼성에스디아이 주식회사 대면적 기판의 식각 장치 및 식각 방법
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US7501353B2 (en) * 2006-12-22 2009-03-10 International Business Machines Corporation Method of formation of a damascene structure utilizing a protective film
WO2009087067A1 (en) * 2008-01-08 2009-07-16 Solvay Solexis S.P.A. Process for the synthesis of perfluorobutadiene
JP2011124239A (ja) * 2008-03-31 2011-06-23 Daikin Industries Ltd ドライエッチングガス及びそれを用いたドライエッチング方法
US20100167506A1 (en) * 2008-12-31 2010-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Inductive plasma doping
JP2012519741A (ja) 2009-03-06 2012-08-30 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング 不飽和ヒドロフルオロカーボンの使用
TWI393489B (zh) * 2009-06-17 2013-04-11 High density microwave and ultra high frequency mixed type plasma coating device
JP5862012B2 (ja) * 2010-02-01 2016-02-16 セントラル硝子株式会社 ドライエッチング剤及びドライエッチング方法
WO2011093263A1 (ja) 2010-02-01 2011-08-04 セントラル硝子株式会社 ドライエッチング剤及びそれを用いたドライエッチング方法
JP5434970B2 (ja) 2010-07-12 2014-03-05 セントラル硝子株式会社 ドライエッチング剤
EP2540800A1 (en) 2011-06-30 2013-01-02 Solvay Sa Process for etching using sulfur compounds
EP2549525A1 (en) 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using CHF3
EP2549526A1 (en) 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using fluorosubstituted compounds
JP2013030531A (ja) 2011-07-27 2013-02-07 Central Glass Co Ltd ドライエッチング剤
CN103578973B (zh) * 2012-07-29 2017-09-05 中国科学院微电子研究所 氮化硅高深宽比孔的循环刻蚀方法
SG10202113236SA (en) 2012-10-30 2021-12-30 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
TWI612182B (zh) 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
CN105810581B (zh) * 2015-01-16 2019-12-10 东京毅力科创株式会社 蚀刻方法
CN105810579B (zh) * 2015-01-16 2019-12-06 东京毅力科创株式会社 蚀刻方法
JP6504827B2 (ja) * 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
JP6544215B2 (ja) 2015-01-23 2019-07-17 セントラル硝子株式会社 ドライエッチング方法
JP2016157793A (ja) * 2015-02-24 2016-09-01 東京エレクトロン株式会社 エッチング方法
JP2016178222A (ja) * 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10347498B2 (en) 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes
US20170110336A1 (en) 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US11075084B2 (en) 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
JP7186032B2 (ja) * 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
KR102461689B1 (ko) * 2020-05-04 2022-10-31 아주대학교산학협력단 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법
US11798811B2 (en) 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
CN113365433B (zh) * 2021-06-07 2024-02-02 深圳奥拦科技有限责任公司 Pcba板表面派瑞林膜层的除去方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4180432A (en) 1977-12-19 1979-12-25 International Business Machines Corporation Process for etching SiO2 layers to silicon in a moderate vacuum gas plasma
US4162185A (en) 1978-03-21 1979-07-24 International Business Machines Corporation Utilizing saturated and unsaturated halocarbon gases in plasma etching to increase etch of SiO2 relative to Si
JPS57108267A (en) 1980-12-26 1982-07-06 Showa Denko Kk Etching method
JPS57155732A (en) 1981-03-20 1982-09-25 Sharp Corp Dry etching
IT1207496B (it) 1985-05-29 1989-05-25 Montefluos Spa Procedimento per la sintesi di esafluorobutadiene e dieni perfluorurati superiori.
US5300460A (en) 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
JP2874263B2 (ja) 1990-03-26 1999-03-24 ソニー株式会社 シリコン化合物系からなる被エッチング膜のエッチング方法
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
JP3038950B2 (ja) 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
JP3154128B2 (ja) 1991-05-24 2001-04-09 ソニー株式会社 ドライエッチング方法
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5698070A (en) 1991-12-13 1997-12-16 Tokyo Electron Limited Method of etching film formed on semiconductor wafer
US5445712A (en) 1992-03-25 1995-08-29 Sony Corporation Dry etching method
JP3252518B2 (ja) 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
US5770098A (en) 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JP2720763B2 (ja) 1993-09-17 1998-03-04 日本電気株式会社 半導体装置の製造方法
US5935877A (en) 1995-09-01 1999-08-10 Applied Materials, Inc. Etch process for forming contacts over titanium silicide
JPH09191002A (ja) 1996-01-10 1997-07-22 Sony Corp プラズマエッチング方法
US5989929A (en) 1997-07-22 1999-11-23 Matsushita Electronics Corporation Apparatus and method for manufacturing semiconductor device
US6183655B1 (en) 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
JP3283477B2 (ja) 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100311487B1 (ko) 1997-12-16 2001-11-15 김영환 산화막식각방법
JPH11186229A (ja) 1997-12-18 1999-07-09 Toshiba Corp ドライエッチング方法及び半導体装置の製造方法
JP3905232B2 (ja) 1997-12-27 2007-04-18 東京エレクトロン株式会社 エッチング方法
JP3276626B2 (ja) 2000-04-12 2002-04-22 株式会社ジェック 法定公共物・法定外公共物譲与申請支援装置及び記録媒体

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120098525A (ko) * 2011-02-28 2012-09-05 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 반도체 장치의 제조 방법 그리고 컴퓨터 기억 매체
KR101912776B1 (ko) * 2011-02-28 2018-10-29 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 반도체 장치의 제조 방법 그리고 컴퓨터 기억 매체
KR101366429B1 (ko) * 2011-09-16 2014-02-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에칭 방법 및 장치
US9252023B2 (en) 2011-09-16 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etching method and apparatus

Also Published As

Publication number Publication date
US6387287B1 (en) 2002-05-14
WO2000030168A1 (en) 2000-05-25
TW574425B (en) 2004-02-01
KR20010080467A (ko) 2001-08-22
JP2002530863A (ja) 2002-09-17
TW200401049A (en) 2004-01-16
TW200405467A (en) 2004-04-01

Similar Documents

Publication Publication Date Title
KR100430046B1 (ko) 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스
US6602434B1 (en) Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6174451B1 (en) Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
US7311852B2 (en) Method of plasma etching low-k dielectric materials
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
US6613689B2 (en) Magnetically enhanced plasma oxide etch using hexafluorobutadiene
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR100849707B1 (ko) 탄소-도우핑된 저유전체들의 선택적 식각
US6919278B2 (en) Method for etching silicon carbide
US6670278B2 (en) Method of plasma etching of silicon carbide
US6797189B2 (en) Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
KR20010079765A (ko) 구리 이중 상감구조에 사용되는 인-시튜 집적 산화물 에칭방법
JP2006501634A (ja) 基板をエッチングするための方法及び装置
WO1999016110A2 (en) Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
US6544429B1 (en) Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US7202177B2 (en) Nitrous oxide stripping process for organosilicate glass
US20050079704A1 (en) Etch back process using nitrous oxide

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090331

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee