JP2004512668A - フルオロカーボンのエッチングガスを用いた磁気的に増強されたプラズマエッチング方法 - Google Patents
フルオロカーボンのエッチングガスを用いた磁気的に増強されたプラズマエッチング方法 Download PDFInfo
- Publication number
- JP2004512668A JP2004512668A JP2001567814A JP2001567814A JP2004512668A JP 2004512668 A JP2004512668 A JP 2004512668A JP 2001567814 A JP2001567814 A JP 2001567814A JP 2001567814 A JP2001567814 A JP 2001567814A JP 2004512668 A JP2004512668 A JP 2004512668A
- Authority
- JP
- Japan
- Prior art keywords
- etching
- photoresist
- plasma
- magnetic field
- ratio
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000005530 etching Methods 0.000 title claims abstract description 88
- 238000000034 method Methods 0.000 title claims abstract description 54
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 title claims abstract description 27
- 238000001020 plasma etching Methods 0.000 title claims abstract description 13
- 239000007789 gas Substances 0.000 claims abstract description 40
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims abstract description 24
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 22
- 239000001301 oxygen Substances 0.000 claims abstract description 21
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 21
- 229910052786 argon Inorganic materials 0.000 claims abstract description 12
- 229920002120 photoresistant polymer Polymers 0.000 claims description 101
- 239000000203 mixture Substances 0.000 claims description 22
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 14
- 239000000463 material Substances 0.000 claims description 10
- 150000002500 ions Chemical class 0.000 claims description 9
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 7
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 7
- 239000012159 carrier gas Substances 0.000 claims description 7
- 238000005086 pumping Methods 0.000 claims description 6
- 229910052724 xenon Inorganic materials 0.000 claims description 5
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 5
- 239000001257 hydrogen Substances 0.000 claims description 4
- 229910052739 hydrogen Inorganic materials 0.000 claims description 4
- 239000000758 substrate Substances 0.000 claims description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 3
- 125000004432 carbon atom Chemical group C* 0.000 claims description 3
- 230000008569 process Effects 0.000 abstract description 28
- 239000003085 diluting agent Substances 0.000 abstract 1
- 210000002381 plasma Anatomy 0.000 description 58
- 229920000642 polymer Polymers 0.000 description 29
- 238000006116 polymerization reaction Methods 0.000 description 12
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 11
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 10
- 229910052731 fluorine Inorganic materials 0.000 description 10
- 150000004767 nitrides Chemical class 0.000 description 10
- 230000007423 decrease Effects 0.000 description 9
- 239000011737 fluorine Substances 0.000 description 9
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 8
- 229910052799 carbon Inorganic materials 0.000 description 8
- 229910052751 metal Inorganic materials 0.000 description 8
- 239000002184 metal Substances 0.000 description 8
- 238000001465 metallisation Methods 0.000 description 8
- 230000008901 benefit Effects 0.000 description 7
- 238000000151 deposition Methods 0.000 description 7
- 230000000694 effects Effects 0.000 description 7
- 238000012545 processing Methods 0.000 description 7
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 230000008021 deposition Effects 0.000 description 6
- 238000010849 ion bombardment Methods 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- LGPPATCNSOSOQH-UHFFFAOYSA-N 1,1,2,3,4,4-hexafluorobuta-1,3-diene Chemical compound FC(F)=C(F)C(F)=C(F)F LGPPATCNSOSOQH-UHFFFAOYSA-N 0.000 description 5
- -1 Si 23N4 Inorganic materials 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 239000005380 borophosphosilicate glass Substances 0.000 description 5
- 229910002092 carbon dioxide Inorganic materials 0.000 description 5
- 239000001569 carbon dioxide Substances 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- ZQBFAOFFOQMSGJ-UHFFFAOYSA-N hexafluorobenzene Chemical compound FC1=C(F)C(F)=C(F)C(F)=C1F ZQBFAOFFOQMSGJ-UHFFFAOYSA-N 0.000 description 5
- 238000000926 separation method Methods 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- 150000003254 radicals Chemical class 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 230000009286 beneficial effect Effects 0.000 description 3
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000002349 favourable effect Effects 0.000 description 2
- 239000002784 hot electron Substances 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- DGLFZUBOMRZNQX-UHFFFAOYSA-N 1,1,2,2,3,3-hexafluorocyclobutane Chemical compound FC1(F)CC(F)(F)C1(F)F DGLFZUBOMRZNQX-UHFFFAOYSA-N 0.000 description 1
- DXPCVBMFVUHPOU-UHFFFAOYSA-N 1,3,3,4,4,4-hexafluorobut-1-yne Chemical compound FC#CC(F)(F)C(F)(F)F DXPCVBMFVUHPOU-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000012050 conventional carrier Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000002939 deleterious effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000003701 inert diluent Substances 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000013386 optimize process Methods 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000008092 positive effect Effects 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 230000009897 systematic effect Effects 0.000 description 1
- 230000008719 thickening Effects 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
Abstract
磁気的に増強された反応性イオンエッチング(MERIE)プラズマリアクター内で行なわれる酸化物エッチングである。エッチングガスは、ほぼ等しい量の水素のないフルオロカーボン、最も好適にはC4F6と酸素、および非常に大量のアルゴン希釈ガスを有する。磁界は、好適には約50ガウス以上に、また圧力は40ミリトル、あるいはそれ以上に、70ミリ秒より少ないチャンバ滞留時間で維持される。非常に高いアスペクト比を有するホールをエッチングするために、2(two)−ステッププロセスが用いられる。第2ステップにおいて、磁界及び酸素の流量が減少される。2より少ない、好ましくは1.6または1.5より多くないF/C比を有する他のフルオロカーボンで置き換えることもできる。
Description
【0001】
(発明の属する技術分野)
本発明は、一般にプラズマエッチングに関し、特に、磁気増強されたプラズマエッチングリアクターによる半導体集積回路の酸化物層をエッチングする方法に関する。
【0002】
(従来の技術)
最近のシリコン集積回路は、100万から1000万の相互接続された半導体デバイスを有する。この様なハイレベルの集積化は、少なくとも部分的に、最小のフィーチャサイズを減少することによって、及び水平に延びたメタライゼーションラインの多重配線層を設けることによって達成されている。誘電体層が、小さな領域の垂直メタライゼーション配線と選択的に接続される配線層を分離している。2つのメタライゼーション層を分離する誘電体層の場合、垂直の相互接続は、それがこれらのメタライゼーション層間で接続するときビア(via)と呼ばれ、或いはそれが第1のメタライゼーション層をシリコン基板上に設けられた半導体デバイスと接続するときコンタクトホールと呼ばれる。本発明は、ドライプラズマエッチングによってビア又はコンタクトホールの形状について主に説明される。このようなホールがエッチングされた後に、それらは、例えばタングステンのようなメタライゼーションで満たされ、それによって、垂直接続を形成する。
【0003】
以下に説明されるように、ビア又はコンタクトホールのエッチングは、それらの減少する幅と増大するアスペクト比のために、高度の構造における困難性が増大することを示している。本発明は、ビア及びコンタクトエッチングの両方(及び他の誘電体エッチング応用)に適用できるので、用語“ビア”及び“コンタクト”は、一方から他方への特定のプロセスの特別な部分を区別することなく以下のテキストにおいて、殆ど取り換え可能に用いられる。
【0004】
中間レベルの誘電体は、従来それがTEOS、を用いるプラズマCVDプロセスにおいて成長された二酸化シリコンであろうとガラス上にスピンに従って堆積される硼燐酸珪酸ガラス(borophosphate silicate glass: BPSG)であろうと、シリカベースの酸化物、又は他の誘電体材料から作られている。更に、近年、中間レベルの誘電体として使用するために、低k誘電体材料が開発された。それらの低い誘電率は、水平又は垂直に隣接するライン間での誘電性結合を減少する可能性を提供し、したがって、クロストーク、電力消費、及び信号の立ち上り時間を減少する。組成を変更し、シリコンベース及び他のカーボンベースを有する低k誘電体が提案されている。
【0005】
代表的な進歩したビアホールは図1に断面図に示されている。下部の誘電体層10が、例えば、下にある層10に対するメタライゼーションラインのような金属フィーチャ12を有する。上部の誘電体層14は下部の誘電体層10及び金属フィーチャ12上に堆積される。化学的機械的ポリッシング(chemical mechanical polishing: CMP)のステップが誘電体層14の上面を平坦化するために用いられる。ホトレジスト層16が上部誘電体層14上で回転され、乾燥され、そしてビアが形成されるべき金属フィーチャ12上の領域にホトマスクの開口を露出し、現像するために、ホトグラフッィク手段が用いられる。エッチング前のパターン化されたホトレジストの元の上部プロフィールは点線16’によって示されている。プラズマエッチングステップは、誘電体層14を通して下にある金属フィーチャ12までエッチンするために、ホトマスクとしてパターン化されたホトレジスト層を用いて、ビアホール20を形成する。この誘電体のエッチングステップは、通常オキサイドエッチング(oxide etching)と呼ばれる。
【0006】
ビアホール20の形成後、ホトレジストは取り去られ、金属がこのビアホール20に堆積される。構造は、いろいろなデバイスの製造業者の特定の需要に応じて、図示されたものより複雑にすることができる。金属フィーチャ12は、誘電体層14上に立ち上るラインであったり、または水平トレンチ及び接続された垂直ビアを誘電体中で結合する二重ダマシン構造であってもよい。エッチングの停止層が下部誘電体10と上部誘電体14の間に形成され、エッチングステップが下にある金属をスパッタリングすることなくエッチング停止層上で停止するようにする。シリカのフッ素ベースのプラズマエッチングに対して、窒化シリコンは優れたエッチング停止層である。非反射コーティングが上部の誘電体層14とホトレジスト16間に形成され、ホトマスクのパターン化に使用されるホトグラフッィクステップの解像度を支援する。これらの追加構造のフィーチャは従来よく知られている。それらのエッチングは、一般に誘電体エッチングから分離したステップで行なわれ、一体化されたエッチングプロセスはいろいろなエッチングステップを結合するために開発される必要がある。本発明は、最も手ごわい挑戦を示す、誘電体エッチングに主に関する。
【0007】
誘電体層14の厚さは、一般に0.7〜1.4μmの範囲にある。この厚さは高度なデバイスでは減少することがで期待できない。ビアホールの多重深さを含む大きな厚さは、一般に、より複雑なメタライゼーション構造と関連しており、殆どの処理ステップで増加したデバイス密度を提供することができない。現在商業的に開発されたチップのビア幅は0.18μm程度の小ささである。0.13μm幅のための技術が開発されつつある。0.10μmの幅はそれほど遠くない将来に期待されている。
【0008】
これらの、益々小さなくなる幅は、特に、実質的に一定のままの誘電体の厚さに照らして、エッチングの問題を生じる。ビアホール20は益々高アスペクト比を有する。ビアホールのアスペクト比は、ホールの深さとその上部におけるホールの最も狭い寸法との比である。現在では、4または5のアスペクト比が進んだチップにおいて得られている。将来のチップでは、このアスペクト比は8又は10に増加するであろう。この様な高アスペクト比は酸化物のエッチングへの重大な挑戦を示している。何故ならば、そられはホールへ深く達する高い異方性のエッチングを必要とするからである。高アスペクト比のホールのエッチングは、ホールの大きな深さにおける酸化物のエッチング速度の減少により、ホトレジストに対して高いエッチングの選択度を要求する。酸化物のエッチングにおいて要求されるこの選択度と異方性は、代表的には、非酸化物材料と全ての垂直側壁上に保護ポリマーを堆積するフルオロカーボンのプラズマ化学処理をを用いて達成される。
【0009】
一方、エネルギーを有するイオン衝突の存在下で、フッ素プラズマと下にある酸素の結合は、エッチングされるシリカのホールの底部に形成されたポリマーを分解し、そして下にあるシリカをホールから汲み上げられる不安定な成分に変えるエッチャントに曝し、それにより、ホールをエッチングする。しかし、非常に多くのポリマーが形成されると、ホールは、それでもなお、ポリマーで詰まってしまい、エッチングがホールの底に到達する前に停止する。同じ条件の下で、更にエッチングをすることは、エッチングプロセスを完了するのに効果的でない。この有害な結果は、エッチング停止と呼ばれる。
【0010】
このような狭いフィーチャに必要なホトリソグラフィは、代表的には、深い紫外線光(deep ultra−violet: DUV)に頼っている。DUV放射に敏感なホトレジストが利用できる。このホトレジストの厚さは、ホールの最小の幅と同程度に限定されなければならない。さもないと、ホトリソグラフィは、ホトレジストの深さ以上に焦点がずれてしまう。しかし、ホトレジストは、通常殆どのエッチング化学処理によってある程度エッチングする傾向にあるカーボンベースのポリマーである。その結果、ホトレジストの深さは図1に示された元のプロフィール16’からプロフィール16へ減少する。更に、殆どのエッチング化学処理において、露出されたコーナーは平らな面より速やかにエッチングされるので、非常に厳しい選択度の問題がホトマスクのパターン化されたホールの周りのホトレジスト層16の上部コーナーに形成する小面22にしばしば明らかにされる。ホトレジストのようなポリマー材料のエッチングは、図示される以上に曲面化された小面を生成する傾向にある。ホトレジストのエッチングのマージンは、パターン化されたビアホール20の隣にあるホトレジスト16の側壁の残っている高さ部分24によって与えられる。もし、小面22が下にある上部誘電体層14に達すると、即ち、ホトレジストのエッチングマージンがゼロになると、ホトマスクに関連した臨界寸法が失われ、ビアホール20の上部部分が広がってしまう。
【0011】
特に、小面22の周りのホトレジストの低い選択度の問題は、高いエネルギーの粒子がホトレジストをスパッタし、そのスパッタされた材料がビアの上部側壁上に再堆積するようになることである。この一様でない再堆積されたホトレジストは、ホールのプロフィールの制御を困難にする。これらの理由のため、ホトレジストに対して酸化物エッチングの選択度は高く維持されなければならないし、最も重大なホトレジストの選択度は小面と関連されたものである。
【0012】
ホトレジストの選択度は、常に酸化物のエッチングにおける関心事であるが、窒化物の選択度は通常大きな関心事である。しかし、非常に狭い構造に対しては、ホトレジストの選択度は、酸化物のエッチングに課せられた最も困難な要件である。
【0013】
最近の開発は、フルオロカーボンのプラズマが窒素化物に対して非常に高い選択度を伴って、約5:1までのアスペクト比で酸化物にホールをエッチングすることができることをと示した。Hung他は1999年3月25日に出願した米国出願番号09/276,311において特に有利なエッチングレシピを開示している。この内容はレファレンスによってここに取り込まれる。この特許出願は、大部分のキャリアガスと共に、活性エッチャント種として、例えばヘキサフルオロブタジエン(C4F6)のような低いF/C比を有する重水素のないフルオロカーボンのを用いることの利点を開示している。アルゴンは、プラズマエッチング用の従来のキャリアガスであるが、しかし、Hung他は、1999年3月25日に出願した米国出願番号09/276,376および1999年9月24日に出願した米国出願番号09/405,869において、もし、キセノンがC4F6のようなフルオロカーボンと共にキャリアガスとして用いられるなら、窒化物でもエッチング停止のない良好な選択度が得られることを開示している。これらの特許はレファレンスによってここに取り込まれる。
【0014】
これらの特許に示された化学処理は、カルフォルニア州、サンタクララのアプライドマテリアルズ社から利用できるIPSエッチングリアクターで主に行なわれている。このIPSリアクターは、プラズマシースを含まないプラズマの全体領域にわたって少なくとも1011cm−3のイオン密度を有するものとして定義される高密度プラズマ(high−density plasma: HDP)を生成するために誘導結合されたプラズマ源を用いる高密度プラズマリアクターである。IPSリアクターにおいて、RF誘導コイルは主にプラズマを生成するために担っているが、ペデスタル電極に与えられる他のRF電源はDC自己バイアス電圧を制御し、それにより、プラズマシースを横切ってウエハへ加速されるイオンのエネルギーを制御している。しかし、依然として、多くの従来の容量性結合されたプラズマエッチングリアクター(しばしば、ダイオードリアクターと呼ばれる)で酸化物エッチングを行うための必要性がある。HDPリアクターは最近開発されたばかりであり、比較的高価である。さらに、高密度プラズマは、例えば、誘電体をチャージすることによって、半導体チップに損傷を与える可能性がある。これらの損傷のメカニズムは、通常HDPリアクターにおいて制御されるけれども、それらは低いプラズマ密度を生成する容量性結合されたリアクターではまして問題がない。
【0015】
容量性結合されたエッチングリアクターの例は、図2に概略図示された磁気的に増強された反応性イオンエッチング(magnetically enhanced reactive ion etch: MERIE)リアクター30である。この図示は、アプライドマテリアルズ社から利用可能な、MxP,eMax,またはSuper−eエッチングリアクターに基づいている。それは、接地された真空チャンバ32を有し、壁を保護するためにライナーを含んでいる。ウエハ34がスリットバルブ開口36を通してチャンバ32へ挿入され、ウエハを選択的にクランプする静電チャック40を有するカソードペデスタル上に配置される。チャック機構は図示されていない。ペデスタル38を通る流体冷却チャネル(図示せず)はペデスタルを低い温度に保つ。ヘリウムのような熱伝達ガスがペデスタル38の上面にある窪み(図示せず)に供給される。熱伝達は、静電チャック38または代替的に用いられる周辺のウエハクランプによって、ペデスタル38に対して保持される、ペデスタル38とウエハ34間の熱結合の効率を増す。
【0016】
好ましくは、13.56MHzで動作するRF電源42がカソードペデスタル38に接続され、プラズマを生成し、また一方でDC自己バイアスを制御するための唯一の重要な電力を与える。図示されない電流源によって電力供給される磁気コイル44がチャンバを取り囲み、プラズマの密度を増加するためにゆっくり回転する(秒のオーダ、代表的には10msより少ない)、水平の、実質的にDC磁界を生成する。真空ポンプ装置46が調整可能なスロットルバルブ48をとおしてチャンバ32を真空にする。シールド50、52は、チャンバ32とペデスタル38を保護するばかりでなく、バッフル54とスロットルバルブ48に接続されたポンプチャネル56を規定する。
【0017】
処理ガスがガス源58、60、62からそれぞれの流量コントローラ64、66、68を介してウエハ34の上にあるチャンバ32のルーフに配置されたクォーツのガス分配プレート70へ供給され、そこから処理領域72の至るところに分けられる。エッチングガスの組成は本発明の一つの特徴の主題である。分配プレート70は、処理ガスを受け、処理ガスのより均一な流れを処理領域72に注入するように、多くの分配開口76を有するシャワーヘッドを通して処理領域72と連通するマニホールド74を含む。
【0018】
前出の’331特許出願は、例えばMxP、eMax、またはSuper−eリアクターのような磁気的に増強された反応イオンエッチング装置(MERIE)において酸化物をエッチングするためにC4F6を用いる幾つかの予備的結果を開示している。好適なレシピは、C4F6及び大量のアルゴンのみを含むが、しかし、更なる研究が生産の価値のあるレシピ及び優れた性能を得るために必要であるとみなされている。
【0019】
(発明の概要)
本発明は、ヘビーなフルオロカーボン、例えばヘキサフルオロブタジエン(C4F6)、酸素、及び実質的に僅かなキャリアガス、好ましくはアルゴンを用いて容量性結合されたプラズマエッチングリアクターにおいて誘電体酸化物をエッチングする方法を含む。二酸化炭素(CO)を追加的に加えることができる。本方法は、ホトレジストに対して酸化物をエッチングするための高い選択度を提供することができ、したがって、非常に高いアスペクト比のホールをエッチングするのに特に有用である。フルオロカーボンは好ましくは水素がなく、2より小さい低いF/C比、より好ましくは、1.6より大きくなく、最も好ましくは1.5より大きくないF/C比を有している。
【0020】
本発明は、またエッチングされたホールが深くなるにしたがって磁界を減少することを含む。さらに、本発明は、フルオロカーボンに対して酸素の量を減少することを含む。ポリマーの構成物及び均一な堆積を制御する本発明の能力は、高アスペクト比のホールに対するプロフィールの制御を改善するばかりでなく、SiO2以外の材料、例えばSi3N4、ポリシリコン、及び金属シリサイドから作られる下部層に対する選択度も改善する。これにより生成されたプラズマ組成のこの広い範囲は全てのクリティカル誘電体、例えばSAC、及び二重ダマシーンに適している。
【0021】
(発明の実施の形態)
酸化物におけるホールのフルオロカーボンによるエッチングにおいてポリマー層の形成は、垂直プロフィールを生成する異方性エッチング、及びホトレジスト、窒化物、及びシリコンを含む非酸化物材料に対する選択度のあるエッチング酸化物にとって重要であることが長いこと認識されていた。非常に高いアスペクト比を有するビア及び他のホールに対して、ポリマー化の程度及びポリマーの構成物を厳密に制御することはクリティカルとなる。少なすぎるポリマー化は、側壁に対する保護、及びホトレジスト、窒化物、及び他の非酸素材料への選択度を低下するけれども、非常に高いアスペクト比のホールにおけるポリマー化の僅かな過剰がホールの底へのエッチングを停止するようにする。ポリマーの炭素濃度はプラズマによってエッチングされるその抵抗及びその堆積の均一性に影響を与える。ポリマーの高いプラズマ抵抗はホトレジストの選択度を増加するが、一方、ポリマーの均一な堆積は高いアスペクト比のホールのエッチングのプロフィールを制御するのに役立つ。フルオロカーボン分子におけるフッ素と炭素原子の比で有るF/C比、及びこれらのFとC原子が一緒に結合する手段である分子結合構造は、ポリマー化及びポリマーの特性を制御するのにクリティカルである。高いF/Cは、強くエッチングするガスを示しているが、低いF/Cは、通常大量のポリマー化につながる。代わりに、結合構造は、より望ましいラジカルタイプと密度を有するプラズマを生成するのに必要なプロセス条件を決める。優れた結合構造およびy/x<2、より好ましくはy/x<1.6、そして最も好ましくはy/x<1.5である水素のない、フルオロカーボンCxFyの低いF/Cの利点は、ポリマー化が進んだ適用のための上述の狭いポリマー化ウインドウ内で制御されるようにする。
【0022】
オクタフルオロしクロブタン(C4F8)は、ポピュラーな酸化物エッチングガスであるが、F/C比の最大の好適な値に合致しない。オクタフルオロペンタジエン(C5F8)は、より好適なF/C比に合致する。ヘキサフルオロブタジエン(C4F6)は、最も好適なフルオロカーボンであるが、C4F6の他の異性体は知られている。ヘキサフルオロベンゼン(C6F6)は、更に低いF/C比を有しているが、その高い沸点と高い液体の粘性のために働かせるのが困難である。
【0023】
制御されたポリマー化によってこの制御可能性を達成するために、低いF/Cのフルオロカーボンと高いキャリアガスの僅かの有益な効果をポリマー酸化ガス、例えばガス状酸素(O2)または一酸化炭素(CO)と結合することはしばしば望ましい。これら二つのうち、酸素は非常に好ましい。何故ならば、一酸化炭素は、プラズマ内のF/C比の制御を複雑にし、より複雑なポリマーを生成する傾向があり、且つ有毒で、腐食性があると考えられるからである。水素もポリマー化し、プラズマ化学処理を複雑にするので、通常水素の無いフルオロカーボンを用いるのが好ましい。
【0024】
これらの低いF/C比のCxFxガスの利点は、このプラズマ密度の範囲内で、強い(heavy)、水素のないフルオロカーボンガスのユニークな分離パターンによって、低いか、または中間の密度のプラズマ内で実現される。我々は、容量性結合されたエッチングリアクター、例えば磁気的に増強された反応性イオンエッチング(MERIE)のために用いられるリアクタと関連した低いプラズマ密度(109〜1011/cm3)は高密度のプラズマ(HDP)エッチングリアクターと共に利用可能なものより優れたホトレジストの選択度を得るための利点を有している。非常に高い分離速度を有する高密度プラズマにおいて、フルオロカーボンプロセスガスは、酸化物及びホトレジストの双方へ非常に高いエッチング力、したがって、低いホトレジストの選択度を有する自由なフッ素ラジカルF*の高濃度を有する供給ガスの僅かな部分に素早く分離される。過剰な、自由なフッ素は、酸化物またはホトレジストのいずれかをエッチングプロセスによって、または代表的には、加熱されたシリコンから成るチャンバ部分による制御可能なスカベンジによって、消費されるのみである。このスカベンジの制御可能性は、プロセスパラメータの範囲及び部分材料によって制限され、通常、消耗品の費用とトレードオフになる。また、高密度プラズマは熱い電子を生成する傾向があり、プラズマを抜けたこれらの熱い電子は絶縁性のホトレジスト内にそれらを注入するおそれがあり、それによってホトレジストを負に帯電する。その後、トラップされた負の電荷は、プラズマからホトレジストへ正に帯電したイオンを加速する。これらの高いエネルギーのイオンはホトレジストをスパッタするであろう。一方、MERIEプラズマは、著しく低い電子の温度を生成する傾向にあり、それによりチャージング効果を減少する。
【0025】
これらの低いF/C比を有するエッチングガスの特性によって、比較的高い磁界がガスの分離パターンを大きく変えることなく用いられるようにする。これにより、磁界をポリマー形成に最小の影響を与えるプロセス条件を調整するために独立ノブとして用いることができる。MERIEエッチング装置に影響を与える磁界は109〜1011cm−3より幾らか小さな値の範囲内へプラズマイオン密度を増加することは知られている。もし、プラズマ密度を増加するために、増加するRF電力が用いられるなら、磁界はDCバイアスを増加することなくプラズマ密度を増加する。また、磁界は、プロセスガスのクラッキングシーケンス、したがって、最終プラズマの組成、に強く影響する。また、磁界は、適度に低いDCバイアスを犠牲にすることなく高い酸化物のエッチング速度のための高いRF電力を用いてプロセスを走らせることを可能にする。増大された磁界は、ペデスタル上のDC自己バイアスを減少し、したがって、イオン衝突エネルギーを減少する効果を有する。この効果は複雑であり、磁界及び電界の相互作用を含んでいる。基本的に、磁界はプラズマをしっかり閉じ込め、その密度を増加する。高いプラズマ密度は、固定された入力電力用のプラズマの等価電気回路において、多くの電流を与え、したがって、シース電圧を減少する。即ち、高プラズマ密度は自己バイアス電圧を減少する。減少されたDCバイアスは高エネルギーのイオン衝突によって促進されるホトレジストのコーナーの損失を減少し、したがって小面に関してホトレジストの選択度を減少する。
【0026】
一方、ビアの底に近い磁界を減少することは、その位置において異方性エッチングを形成する点で有利である。深く、狭いビアは、側壁のポリマーを厚く形成するイオン衝突がないために、それらの底に向かって内方にテーパを形成する傾向にある。低い磁界または高いDCバイアスからのより強いイオン衝突はコンタクトホールの底の部分を垂直にすることができる。また、低い磁界は、均一でない瞬時の磁界によって生じる電子のチャージ損傷を減少する。
【0027】
永久磁石以外の電気的コイルによって誘導される磁界によって与えられる可変磁界は、磁界の強さの優れた調整が分離の程度を制御することによって、プラズマ組成を制御するための効果的なプロセスチューニングノブとして用いられるようにする。プロセスパラメータの全ての調整可能性の広い範囲は、高いアスペクト比のコンタクト及びビアのエッチング及び他の特定の応用に対して所望のプラズマ組成を有する109〜1011/cm3間のプラズマ密度を維持することによって達成される。例えば、高磁界は、高い酸化物のエッチング及び高いホトレジストの選択度を維持するために、ビアホールのエッチングの開始部分において用いられる。ホールの底または良好なCDにおける垂直プロフィール、及び論理デバイスのプロセスに対して、電子のチャージ損傷を低くするために、プロセスの終わりのステップにおいて、磁界の強さは、下げられるか、またはターンオフされる。
【0028】
プラズマエッチングチャンバ内にエッチングガスの滞留時間は、プラズマ組成を制御するための追加のプロセスノブである。最適な滞留時間の範囲は、所望のプラズマ組成を達成する場合の臨界であると考えられる。また、プラズマ内のエッチャントガスの滞留時間は短いのが望ましいと考えられる。重水素の無いフルオロカーボン分子、例えばC4F6がプラズマに入ると、それは次第にもっと小さなものに割られる。短い滞留時間の間では、大部分の分離されたフルオロカーボンのラジカルCFX *があり、長い滞留時間では、大部分の分離されたフッ素ラジカルF*がある。過剰な量のフッ素ラジカルはホトレジストを攻撃するが、等しい量のフルオロカーボンラジカルはホトレジストに対するポリマー保護を与える。残留時間τRESは、
τRES∝PV/F
によって近似される。ここで、Pはチャンバ圧力、Vはチャンバ堆積、及びFは全体のリアクタントの流量である。厳守した滞留時間は、真空装置の増大したポンプ能力を必要とする。例えば、アルゴンのような不活性キャリアガスの大きな流量は滞留時間を調整するために用いることができる。例えば、反応性ガスの流量よりも大きい不活性ガスの高い流量は、大きなプロセスウインドウによってポリマーの制御を容易にし、最も重要なことは、ポリマーの堆積位置を制御するのに役立ち、上面のホトレジスト表面と鋭く垂直な酸化物フィーチャの側壁上の正しい量のポリマーをバランスさせることである。
【0029】
上述のコンセプトに基づいて、本発明は、これらの低い、F/C比のガスの本来の利点を利用し、進歩したMERIEチャンバによって与えられる適正なプラズマ密度、調整可能な磁界、及び短い滞留時間を含む優れたワーキング条件を組合せて、プラズマ組成を変え、したがって、種々の臨界的誘電体エッチングの適用に対して所望のポリマー化を得る。
【0030】
最適化されたプロセス条件を有する高アスペクト比(high aspect−ratio: HAR)のホールに対して、これらの低い、F/C比のガスは、優れたプラズマ抵抗でコーティングするポリマーを生成するためにプラズマを提供し、そして、ホトレジストが早すぎてエッチングされるのを保護する。これにより、高いホトレジストの選択度が達成される。また、ユニークなプラズマ組成はポリマー堆積を生成しない条件の下でホトレジストの低いエッチング速度を提供する。CxFy/O2比を調整することによって得られるプラズマ組成の制御可能性、したがって、フルオロカーボンによるポリマーの形成が酸素によるポリマー除去によってバランスされるにしたがって、ポリマー化の程度は、優れたプロフィール制御及びチャンバクリーニング間の増加した間隔、特に、ウエットクリーニング間で平均的なウエハ(mean wafers between wet clean: MWBWC)を達成する。さらに、プラズマはエッチングされる膜の余分な構成物に敏感でないエッチングプロセスを与える自由なフッ素を殆ど含まない。したがって、ドープされ、及びドープされない誘電体膜間での調整は殆ど必要でない。
【0031】
極端に高いホトレジストの選択度が必要ならば、フッ素がCOFとして除去されるにしたがって、非常に高い炭素濃度プラズマを生成するために、したがって、高度のポリマー化を達成するために、追加のガスとして一酸化炭素(CO)を含むことができる。酸素がプラズマ中で果たす重要な役割によって、酸化物対窒化物のエッチングの高い選択度は、酸化物膜から局部的に与えられる酸素の利用に基づいて達成される。
【0032】
35または50ガウスより大きな磁界の存在において、低DCバイアスを用いることができる。この低いDCバイアスプロセスにおける低いイオン衝突エネルギーは、エッチング後のホトレジストの面上で測られるホトレジストの高い選択度に貢献する。エッチングプロセスの最終ステップにおける磁界の変化は、ボトムCD制御のためのノブである。最適の圧力及び流れもボトムCD制御を改善する。プロセスガスの流れ及び圧力を最適化することによって、プラズマ組成は、特に、フルオロメタンのラジカルCFx *(X=1、2または3)の濃度がエッチングプロフィールの制御、特にボトムCDに役立つラジカルの最適化された比を達成するために調整(チューニング)されることができる。また、最適化された圧力及び流れはマイクロローディング性能も改善する。正規の、及び逆のマイクロローディングは流れと圧力を適当に調整して達成され、これら2つは最小のマイクロローディングポイントを得るためにバランスされる。この調整によって、大きなプロセスウインドウを有するプロセスにおいて高いRF電力を用いることを可能にする。低い、F/C比のガスの分離パターンは加えられるRF電力と比較的無関係である。これによって、ウエハ処理の他の特徴に最小の影響で高いRF電力を用いることができる。高い電力は、高いエチング速度を生じ、したがってホトレジストの選択度に最小のトレードオフでウエハのスループットが得られる。
【0033】
これらの観察に基づいて、酸化物に高アスペクト比のコンタクトホールをエッチングするためのレシピが開発され、表1に要約されている。
【0034】
【表1】
表1
【0035】
このレシピの重要な特徴は、強いフルオロカーボンC4F6の流量に対する酸素ガスの流量の比によって特徴付けられる。この比は、エッチングされている酸化物膜の組成に依存して変えられ、そしてコンタクトホールのいろいろな形状のために、異なる酸素の流速を有する2つまたはそれ以上のステップが用いられる。例えば、効果的なアスペクト比がエッチングプロセスとして変化するに従って、酸素部分が増加することができる。このヘビーなフルオロカーボンの量の少なくとも10倍のアルゴンの量、好ましくは、少なくとも20倍は、エッチング停止マージンを増加するのに役立つであろう。重いフルオロカーボンの100倍より多くのアルゴンは不必要と思われる。
【0036】
このレシピは、厚さ、2.0μmのBPSG酸化物層において0.17μmの幅を有する高アスペクト比、即ち、12:1のアスペクト比のホールに対して用いられた。このレシピは、0.75μm/分のBPSGエッチング速度及び10:1より大きなホトレジストの選択度を生成する。ホトレジストの選択度は、(1)通してエッチングされる深さプラス用いられるオバーエッチング時間に相当する深さと(2)元のホトレジストの表面(この場合、0.6μm)から低いホトレジストの小面のコーナー(この場合、0.28μm)の深さとの比として測られる。側壁の傾斜各によって測られたホールのプロフィールは、0.14μmの合計のボトムCDを有する89.5°である。
【0037】
8.1のアスペクト比を生じるTEOS酸化物の0.82μm上に0.1μmのホトマスクの幅を有する狭いビアホールのための同様なレシピが開発された。このレシピは表2に要約されている。
【0038】
【表2】
表2
【0039】
このレシピは、膜の組成の違いのために前のレシピが用いた酸素より多くの酸素を用いている。この場合、エッチング速度は、小さなホール寸法及びTEOS膜がBPSG膜よりエッチングするのが難しいことにより、比較的低かった(>0.4μm/分)。この比較的低いエッチング速度は、〜5:1の比較的低いホトレジストを導く。89.4°のコンタクトホールのプロフィール角度が0.08μmのボトムCDを生じて達成される。したがって、レシピは、非常に小さなフィーチャ寸法に対して、しかし酸化物のエッチング速度及びホトレジストの選択度の減少された値に適合される。
【0040】
幾つかの場合に、通常、アスペクト比が比較的高い(7〜8:1またはそれ以上)及び水平のフィーチャサイズが比較的大きい(0.35μm)とき、ホールプロフィールの優れた制御のために、2またはそれ以上のレシピステップが必要とされる。一例として表3が与えられる。
【0041】
【表3】
表3
【0042】
第2ステップにおける酸素の流量は、第1ステップのそれより小さい。この減少は、ホールが深くなると、側壁の保護を増すために必要とされる高いポリマー化を生成し、ホールの曲がったプロフィールを減少する。減少した酸素のステップにより、第1ステップの酸素の流量は僅かに増加されており、全体のホトレジストの選択度を過度に犠牲にすることなく、単一のステプレシピに用いることができる。高い酸素の第1ステップは、コンタクトホールのネック(ホトレジスト/酸化物の境界の直ぐ下の部分)に形成されるポリマーを減少し、したがって、さらにプロフィールの制御を支援する。結果として、この2つのステップのレシピは単一ステップのエッチングレシピより鋭いプロフィールを達成する。特別な場合、このレシピは、2.8μmの厚さのTEOS膜に0.35μmの直径のコンタクトホールをエッチングするために用いられた。0.65〜0.7μm/分の全体のエッチング速度が約5:1のホトレジストの選択度と共に得られた。0.29μmのボトムCDが98.4°の側壁プロフィール角度と共に得られた。
【0043】
表3のレシピの第2ステップは、低い磁界によっても第1ステップと区別される。前に説明したように、これは、ウエハに加えられる実効DCバイアスを増加することによってボトムCD(テーパの少ない)を増加する役目をし、それにより、ホールの底におけるイオン衝突エネルギーを増加する。この特別なレシピは、コンタクトホールの底において酸化物膜とシリコン基板の間に形成される窒化シリコン膜を伴ってウエハ上で走(ラン)された。レシピの優れた窒素化物の選択度を示して、20%より多い過剰エッチング後に目に見えない窒化物のロスが観察された。
【0044】
全ての上記のレシピは同様に特徴のあるエッチングガスを有している。酸素の量は、ヘキサフルオロブタジエンの量とほぼ等しいか、それより幾らか少ない。他の条件に依存して、0.4:1と2:1の間のO2とC4F6の比によって、多くの同じ有益な効果を得る。ヘキサフルオロブタジエンの流量に対するアルゴンの流量は高く、少なくとも10倍より大きく、好適には20倍より大きい。COがある状態において加えられるが、それはこの構造に対しては必要ではない。
【0045】
上述の3つのレシピより早く開発された一般のレシピに基づいて、システマティックな研究が続けられた。一般のレシピは表4に要約されている。傾向及びウインドウは全く同じように期待される。
【0046】
【表4】
表4
【0047】
酸化物のエッチング速度とホトレジストの選択度は、多くの処理パラメータに依存して相殺するような傾向を示している。幾つかの変化が殆ど効果のないことを示しているが、一方、他のものは重要な傾向を示し、あるものはテストされた範囲の中間では最適な値を有している。
【0048】
酸化物のエッチング速度とホトレジストの選択度の滞留時間に対する依存度が図4に示されている。これらのデータ及び上述のレシピに対して、チャンバ体積は25リットルであるが、プラズマ体積は10.6リットルと見積もられ、真空ポンピング速度は約1300リットル/秒である。酸化物のエッチング速度はライン104によって示され、ホトレジストの選択度はライン106によって示されている。約37と100ms間の滞留時間において、酸化物のエッチング速度は、40ms以下ではエッチング速度が減少しているように見えるが、明らかには変わっていない。しかし、ホトレジストの選択度は、37msの滞留時間における約10:1から61msにおいて約8.5:1へと減少している。さらなる滞留時間の増加は、僅かではあるがホトレジストの選択度を減少している。これらの結果は、70msより少ない滞留時間は良好なホトレジストの選択度を与えることを示している。50msまたはそれより少ない滞留時間はさらに良い。しかし、恐らく、滞留時間の設定点を達成するために用いられたDCバイアスに対する圧力の低下から生じるDCバイアスの増加により、30ms以下への滞留時間のさらなる減少は、ホトレジストの選択度を押し下げる下も知れない。
【0049】
酸化物のエッチング速度とホトレジストの選択度の磁界に対する依存度が図5に示されている。ライン108は酸化物のエッチング速度の依存度を、またライン110はホトレジストの依存度をそれぞれ示している。エッチング速度は50ガウス以上で著しく増加する。ホトレジストの選択度は、磁界の増加にほぼ比例して増加しており、50ガウスより大きな値が好適である。エッチング速度の増加は、磁界の増加によるプラズマ密度の増加によるが、一方ホトレジストの選択度の増加は、高い磁界から生じる低いDCバイアスによると考えられる。これらの結果は35ガウスの最小磁界にやや一般化されることができる。
【0050】
酸化物のエッチング速度とホトレジストの選択度のRF電力のレベルに対する依存度が図6に示されている。酸化物のエッチン速度に対する結果がライン112によって示され、ホトレジストの選択度に対する結果がライン114によって示されている。期待されるように、酸化物のエッチング速度はRF電力と共に増加する。しかし、ホトレジストの選択度は約1800Wでピークを示す。これは、RF電力がプラズマ密度とDCバイアスの双方に正の影響を与え、酸化物とホトレジストに関するエッチング速度を増加するからである。さらに、RF電力が増加しつづけると、この影響は、酸化物に関しては減少し、ホトレジストに関しては増加する。このピークについての幾らかの変化が、例えば、エッチングされるべきいろいろな膜に対して期待され、最小のホトレジストの選択度に対するRF電力の好適な範囲は、200mmの直径のウエハに対して、1650〜2100Wに広がる。電力は、一般にウエハの面積に比例する。
【0051】
チャンバ圧力の変化に対応する結果が図7に示されている。酸化物のエッチング速度はライン116によって、またホトレジストの選択度はライン118によって示されている。酸化物のエッチング速度は25ミリトルで約0.7μm/分から70ミリトルで約0.62μm/分へ減少し、一方、ホトレジストの選択度は、同じ範囲にわたって約9.3から8へ減少する。明らかに、酸化物のエッチング速度及びホトレジストの選択度の双方に対して、低い圧力での動作が望まれる。これについても、幾らかの変化が期待される。テストされた範囲における未知の低い限界を伴って、高い、酸化物のエッチング速度に対して、チャンバ圧力は40ミリトルより大きくない。高い、ホトレジストの選択度に対して、チャンバの圧力は、40ミリトルより大きくないが、しかし、ホトレジストの選択度が低下する決められない低い限界が存在する。前述のように、滞留時間は低い圧力に対して減少する。エッチャントの流量が比較高い場合、チャンバ圧力に関する低い限界は、真空装置のポンピングスピードによって定められる。
【0052】
同様に良好な結果を達成するために、フルオロカーボンとしてC4F8に基づいて、MERIEリアクタのためのエッチングレシピを最適化する試みがなされた。これらの努力は部分的に成功した。最良のレシピはCOの使用を必要とした。C4F8によって得られた酸化物のエッチング速度は、C4F6と同程度に良い。しかし、ホトレジストの選択度、特に小面においては、非常に好ましくない。これらの理由に対して、C4F6は、容量結合されたリアクタにおいての使用に対して、特にホトレジストの選択度が非常に重要である高いアスペクト比のホールに対して、非常に優れたフルオロカーボンである、と考えられる。
【0053】
C4F8に対してC4F6によって得られた有益な結果は、多くの炭素の豊富なポリマーの生成から生じる、と考えられる。C4F8に対するF/Cの比が2.0であるのと比較して、C4F6は1.5のF/Cの比を有しているので、余分な炭素は利用可能である。他の水素の無いフルオロカーボンは、同様に有利なF/C比を有しており、利用可能なものの殆どは、少なくとも4の炭素原子を有している。C4F6はの他の幾つかの異性体、例えば、ヘキサフルオロシクロブタンまたはペルフルオロブチンがる。1.6と1.0のそれぞれのF/C比を有するオクタフルオロペンタジエン(C5F8)及びペルフルオロベンゼン(C6F6)は、上述のレシピレジームには無いけれども、酸化物のエッチング用に提案されている。強くポリマー化している、1つの水素原子のヘビーなフルオロカーボンへの追加は、もし、低いF/C比が依然として得られるならば、殆ど効果が無い。炭素の豊富なポリマーは窒化物の選択度及び側壁の保護に有利であるけれども、それは、ホトレジストの選択度に特に有利である。炭素の豊富なポリマーはホトレジストに殆ど似ており、ホトレジストの分解に損失を伴わないホトレジストを効果的に厚くすることにつながる。ホトレジストの小面は依然として生じるようであるが、しかしホトレジストとポリマーの組合された厚さに対して、効果的なホトレジストの選択度を増加する。
【0054】
上に示したレシピは、全て、化学的に不活性の希釈ガスとしてアルゴンを用いる。代わりに、キセノンが用いられるなら、さらに良好な結果が予測される。しかし、キセノンは高価なガスであり、供給不足にある。したがって、もし、十分なレシピが利用できるなら、アルゴンが好適である。キセノンは最も重要なアプリケーションに対して用いることができる。、例えば、アルゴンによって第1レベル(コンタクト)の中間レベルのエッチングが上部レベル(ビア)のエッチングを必要としないものに対して用いられる場合である。
【0055】
上記の説明は、ホトレジストの高い選択度の場合についての開示されたレシピの利点を強調したけれども、これらのレシピは、例えば、もし、窒化物または他のハードマスクが酸化物を覆っており、酸化物に対して用いられるエッチングレシピと異なるエッチングレシピでパターン化されるなら、ホトレジストの選択度を必要としないアプリケーションにおいても利用可能である。
【図面の簡単な説明】
【図1】
高アスペクト比のホールの断面図である。
【図2】
磁気的に増強された容量結合されたプラズマエッチングリアクターの概略図である。
【図3】
DC自己バイアスに対する酸化物のエッチング速度及びホトレジストの選択度の依存度のグラフである。
【図4】
リアクタントの滞留時間に対する酸化物のエッチング速度及びホトレジストの選択度の依存度のグラフである。
【図5】
磁界に対する酸化物のエッチング速度及びホトレジストの選択度の依存度のグラフである。
【図6】
RF電力に対する酸化物のエッチング速度及びホトレジストの選択度の依存度のグラフである。
【図7】
チャンバ圧力に対する酸化物のエッチング速度及びホトレジストの選択度の依存度のグラフである。
(発明の属する技術分野)
本発明は、一般にプラズマエッチングに関し、特に、磁気増強されたプラズマエッチングリアクターによる半導体集積回路の酸化物層をエッチングする方法に関する。
【0002】
(従来の技術)
最近のシリコン集積回路は、100万から1000万の相互接続された半導体デバイスを有する。この様なハイレベルの集積化は、少なくとも部分的に、最小のフィーチャサイズを減少することによって、及び水平に延びたメタライゼーションラインの多重配線層を設けることによって達成されている。誘電体層が、小さな領域の垂直メタライゼーション配線と選択的に接続される配線層を分離している。2つのメタライゼーション層を分離する誘電体層の場合、垂直の相互接続は、それがこれらのメタライゼーション層間で接続するときビア(via)と呼ばれ、或いはそれが第1のメタライゼーション層をシリコン基板上に設けられた半導体デバイスと接続するときコンタクトホールと呼ばれる。本発明は、ドライプラズマエッチングによってビア又はコンタクトホールの形状について主に説明される。このようなホールがエッチングされた後に、それらは、例えばタングステンのようなメタライゼーションで満たされ、それによって、垂直接続を形成する。
【0003】
以下に説明されるように、ビア又はコンタクトホールのエッチングは、それらの減少する幅と増大するアスペクト比のために、高度の構造における困難性が増大することを示している。本発明は、ビア及びコンタクトエッチングの両方(及び他の誘電体エッチング応用)に適用できるので、用語“ビア”及び“コンタクト”は、一方から他方への特定のプロセスの特別な部分を区別することなく以下のテキストにおいて、殆ど取り換え可能に用いられる。
【0004】
中間レベルの誘電体は、従来それがTEOS、を用いるプラズマCVDプロセスにおいて成長された二酸化シリコンであろうとガラス上にスピンに従って堆積される硼燐酸珪酸ガラス(borophosphate silicate glass: BPSG)であろうと、シリカベースの酸化物、又は他の誘電体材料から作られている。更に、近年、中間レベルの誘電体として使用するために、低k誘電体材料が開発された。それらの低い誘電率は、水平又は垂直に隣接するライン間での誘電性結合を減少する可能性を提供し、したがって、クロストーク、電力消費、及び信号の立ち上り時間を減少する。組成を変更し、シリコンベース及び他のカーボンベースを有する低k誘電体が提案されている。
【0005】
代表的な進歩したビアホールは図1に断面図に示されている。下部の誘電体層10が、例えば、下にある層10に対するメタライゼーションラインのような金属フィーチャ12を有する。上部の誘電体層14は下部の誘電体層10及び金属フィーチャ12上に堆積される。化学的機械的ポリッシング(chemical mechanical polishing: CMP)のステップが誘電体層14の上面を平坦化するために用いられる。ホトレジスト層16が上部誘電体層14上で回転され、乾燥され、そしてビアが形成されるべき金属フィーチャ12上の領域にホトマスクの開口を露出し、現像するために、ホトグラフッィク手段が用いられる。エッチング前のパターン化されたホトレジストの元の上部プロフィールは点線16’によって示されている。プラズマエッチングステップは、誘電体層14を通して下にある金属フィーチャ12までエッチンするために、ホトマスクとしてパターン化されたホトレジスト層を用いて、ビアホール20を形成する。この誘電体のエッチングステップは、通常オキサイドエッチング(oxide etching)と呼ばれる。
【0006】
ビアホール20の形成後、ホトレジストは取り去られ、金属がこのビアホール20に堆積される。構造は、いろいろなデバイスの製造業者の特定の需要に応じて、図示されたものより複雑にすることができる。金属フィーチャ12は、誘電体層14上に立ち上るラインであったり、または水平トレンチ及び接続された垂直ビアを誘電体中で結合する二重ダマシン構造であってもよい。エッチングの停止層が下部誘電体10と上部誘電体14の間に形成され、エッチングステップが下にある金属をスパッタリングすることなくエッチング停止層上で停止するようにする。シリカのフッ素ベースのプラズマエッチングに対して、窒化シリコンは優れたエッチング停止層である。非反射コーティングが上部の誘電体層14とホトレジスト16間に形成され、ホトマスクのパターン化に使用されるホトグラフッィクステップの解像度を支援する。これらの追加構造のフィーチャは従来よく知られている。それらのエッチングは、一般に誘電体エッチングから分離したステップで行なわれ、一体化されたエッチングプロセスはいろいろなエッチングステップを結合するために開発される必要がある。本発明は、最も手ごわい挑戦を示す、誘電体エッチングに主に関する。
【0007】
誘電体層14の厚さは、一般に0.7〜1.4μmの範囲にある。この厚さは高度なデバイスでは減少することがで期待できない。ビアホールの多重深さを含む大きな厚さは、一般に、より複雑なメタライゼーション構造と関連しており、殆どの処理ステップで増加したデバイス密度を提供することができない。現在商業的に開発されたチップのビア幅は0.18μm程度の小ささである。0.13μm幅のための技術が開発されつつある。0.10μmの幅はそれほど遠くない将来に期待されている。
【0008】
これらの、益々小さなくなる幅は、特に、実質的に一定のままの誘電体の厚さに照らして、エッチングの問題を生じる。ビアホール20は益々高アスペクト比を有する。ビアホールのアスペクト比は、ホールの深さとその上部におけるホールの最も狭い寸法との比である。現在では、4または5のアスペクト比が進んだチップにおいて得られている。将来のチップでは、このアスペクト比は8又は10に増加するであろう。この様な高アスペクト比は酸化物のエッチングへの重大な挑戦を示している。何故ならば、そられはホールへ深く達する高い異方性のエッチングを必要とするからである。高アスペクト比のホールのエッチングは、ホールの大きな深さにおける酸化物のエッチング速度の減少により、ホトレジストに対して高いエッチングの選択度を要求する。酸化物のエッチングにおいて要求されるこの選択度と異方性は、代表的には、非酸化物材料と全ての垂直側壁上に保護ポリマーを堆積するフルオロカーボンのプラズマ化学処理をを用いて達成される。
【0009】
一方、エネルギーを有するイオン衝突の存在下で、フッ素プラズマと下にある酸素の結合は、エッチングされるシリカのホールの底部に形成されたポリマーを分解し、そして下にあるシリカをホールから汲み上げられる不安定な成分に変えるエッチャントに曝し、それにより、ホールをエッチングする。しかし、非常に多くのポリマーが形成されると、ホールは、それでもなお、ポリマーで詰まってしまい、エッチングがホールの底に到達する前に停止する。同じ条件の下で、更にエッチングをすることは、エッチングプロセスを完了するのに効果的でない。この有害な結果は、エッチング停止と呼ばれる。
【0010】
このような狭いフィーチャに必要なホトリソグラフィは、代表的には、深い紫外線光(deep ultra−violet: DUV)に頼っている。DUV放射に敏感なホトレジストが利用できる。このホトレジストの厚さは、ホールの最小の幅と同程度に限定されなければならない。さもないと、ホトリソグラフィは、ホトレジストの深さ以上に焦点がずれてしまう。しかし、ホトレジストは、通常殆どのエッチング化学処理によってある程度エッチングする傾向にあるカーボンベースのポリマーである。その結果、ホトレジストの深さは図1に示された元のプロフィール16’からプロフィール16へ減少する。更に、殆どのエッチング化学処理において、露出されたコーナーは平らな面より速やかにエッチングされるので、非常に厳しい選択度の問題がホトマスクのパターン化されたホールの周りのホトレジスト層16の上部コーナーに形成する小面22にしばしば明らかにされる。ホトレジストのようなポリマー材料のエッチングは、図示される以上に曲面化された小面を生成する傾向にある。ホトレジストのエッチングのマージンは、パターン化されたビアホール20の隣にあるホトレジスト16の側壁の残っている高さ部分24によって与えられる。もし、小面22が下にある上部誘電体層14に達すると、即ち、ホトレジストのエッチングマージンがゼロになると、ホトマスクに関連した臨界寸法が失われ、ビアホール20の上部部分が広がってしまう。
【0011】
特に、小面22の周りのホトレジストの低い選択度の問題は、高いエネルギーの粒子がホトレジストをスパッタし、そのスパッタされた材料がビアの上部側壁上に再堆積するようになることである。この一様でない再堆積されたホトレジストは、ホールのプロフィールの制御を困難にする。これらの理由のため、ホトレジストに対して酸化物エッチングの選択度は高く維持されなければならないし、最も重大なホトレジストの選択度は小面と関連されたものである。
【0012】
ホトレジストの選択度は、常に酸化物のエッチングにおける関心事であるが、窒化物の選択度は通常大きな関心事である。しかし、非常に狭い構造に対しては、ホトレジストの選択度は、酸化物のエッチングに課せられた最も困難な要件である。
【0013】
最近の開発は、フルオロカーボンのプラズマが窒素化物に対して非常に高い選択度を伴って、約5:1までのアスペクト比で酸化物にホールをエッチングすることができることをと示した。Hung他は1999年3月25日に出願した米国出願番号09/276,311において特に有利なエッチングレシピを開示している。この内容はレファレンスによってここに取り込まれる。この特許出願は、大部分のキャリアガスと共に、活性エッチャント種として、例えばヘキサフルオロブタジエン(C4F6)のような低いF/C比を有する重水素のないフルオロカーボンのを用いることの利点を開示している。アルゴンは、プラズマエッチング用の従来のキャリアガスであるが、しかし、Hung他は、1999年3月25日に出願した米国出願番号09/276,376および1999年9月24日に出願した米国出願番号09/405,869において、もし、キセノンがC4F6のようなフルオロカーボンと共にキャリアガスとして用いられるなら、窒化物でもエッチング停止のない良好な選択度が得られることを開示している。これらの特許はレファレンスによってここに取り込まれる。
【0014】
これらの特許に示された化学処理は、カルフォルニア州、サンタクララのアプライドマテリアルズ社から利用できるIPSエッチングリアクターで主に行なわれている。このIPSリアクターは、プラズマシースを含まないプラズマの全体領域にわたって少なくとも1011cm−3のイオン密度を有するものとして定義される高密度プラズマ(high−density plasma: HDP)を生成するために誘導結合されたプラズマ源を用いる高密度プラズマリアクターである。IPSリアクターにおいて、RF誘導コイルは主にプラズマを生成するために担っているが、ペデスタル電極に与えられる他のRF電源はDC自己バイアス電圧を制御し、それにより、プラズマシースを横切ってウエハへ加速されるイオンのエネルギーを制御している。しかし、依然として、多くの従来の容量性結合されたプラズマエッチングリアクター(しばしば、ダイオードリアクターと呼ばれる)で酸化物エッチングを行うための必要性がある。HDPリアクターは最近開発されたばかりであり、比較的高価である。さらに、高密度プラズマは、例えば、誘電体をチャージすることによって、半導体チップに損傷を与える可能性がある。これらの損傷のメカニズムは、通常HDPリアクターにおいて制御されるけれども、それらは低いプラズマ密度を生成する容量性結合されたリアクターではまして問題がない。
【0015】
容量性結合されたエッチングリアクターの例は、図2に概略図示された磁気的に増強された反応性イオンエッチング(magnetically enhanced reactive ion etch: MERIE)リアクター30である。この図示は、アプライドマテリアルズ社から利用可能な、MxP,eMax,またはSuper−eエッチングリアクターに基づいている。それは、接地された真空チャンバ32を有し、壁を保護するためにライナーを含んでいる。ウエハ34がスリットバルブ開口36を通してチャンバ32へ挿入され、ウエハを選択的にクランプする静電チャック40を有するカソードペデスタル上に配置される。チャック機構は図示されていない。ペデスタル38を通る流体冷却チャネル(図示せず)はペデスタルを低い温度に保つ。ヘリウムのような熱伝達ガスがペデスタル38の上面にある窪み(図示せず)に供給される。熱伝達は、静電チャック38または代替的に用いられる周辺のウエハクランプによって、ペデスタル38に対して保持される、ペデスタル38とウエハ34間の熱結合の効率を増す。
【0016】
好ましくは、13.56MHzで動作するRF電源42がカソードペデスタル38に接続され、プラズマを生成し、また一方でDC自己バイアスを制御するための唯一の重要な電力を与える。図示されない電流源によって電力供給される磁気コイル44がチャンバを取り囲み、プラズマの密度を増加するためにゆっくり回転する(秒のオーダ、代表的には10msより少ない)、水平の、実質的にDC磁界を生成する。真空ポンプ装置46が調整可能なスロットルバルブ48をとおしてチャンバ32を真空にする。シールド50、52は、チャンバ32とペデスタル38を保護するばかりでなく、バッフル54とスロットルバルブ48に接続されたポンプチャネル56を規定する。
【0017】
処理ガスがガス源58、60、62からそれぞれの流量コントローラ64、66、68を介してウエハ34の上にあるチャンバ32のルーフに配置されたクォーツのガス分配プレート70へ供給され、そこから処理領域72の至るところに分けられる。エッチングガスの組成は本発明の一つの特徴の主題である。分配プレート70は、処理ガスを受け、処理ガスのより均一な流れを処理領域72に注入するように、多くの分配開口76を有するシャワーヘッドを通して処理領域72と連通するマニホールド74を含む。
【0018】
前出の’331特許出願は、例えばMxP、eMax、またはSuper−eリアクターのような磁気的に増強された反応イオンエッチング装置(MERIE)において酸化物をエッチングするためにC4F6を用いる幾つかの予備的結果を開示している。好適なレシピは、C4F6及び大量のアルゴンのみを含むが、しかし、更なる研究が生産の価値のあるレシピ及び優れた性能を得るために必要であるとみなされている。
【0019】
(発明の概要)
本発明は、ヘビーなフルオロカーボン、例えばヘキサフルオロブタジエン(C4F6)、酸素、及び実質的に僅かなキャリアガス、好ましくはアルゴンを用いて容量性結合されたプラズマエッチングリアクターにおいて誘電体酸化物をエッチングする方法を含む。二酸化炭素(CO)を追加的に加えることができる。本方法は、ホトレジストに対して酸化物をエッチングするための高い選択度を提供することができ、したがって、非常に高いアスペクト比のホールをエッチングするのに特に有用である。フルオロカーボンは好ましくは水素がなく、2より小さい低いF/C比、より好ましくは、1.6より大きくなく、最も好ましくは1.5より大きくないF/C比を有している。
【0020】
本発明は、またエッチングされたホールが深くなるにしたがって磁界を減少することを含む。さらに、本発明は、フルオロカーボンに対して酸素の量を減少することを含む。ポリマーの構成物及び均一な堆積を制御する本発明の能力は、高アスペクト比のホールに対するプロフィールの制御を改善するばかりでなく、SiO2以外の材料、例えばSi3N4、ポリシリコン、及び金属シリサイドから作られる下部層に対する選択度も改善する。これにより生成されたプラズマ組成のこの広い範囲は全てのクリティカル誘電体、例えばSAC、及び二重ダマシーンに適している。
【0021】
(発明の実施の形態)
酸化物におけるホールのフルオロカーボンによるエッチングにおいてポリマー層の形成は、垂直プロフィールを生成する異方性エッチング、及びホトレジスト、窒化物、及びシリコンを含む非酸化物材料に対する選択度のあるエッチング酸化物にとって重要であることが長いこと認識されていた。非常に高いアスペクト比を有するビア及び他のホールに対して、ポリマー化の程度及びポリマーの構成物を厳密に制御することはクリティカルとなる。少なすぎるポリマー化は、側壁に対する保護、及びホトレジスト、窒化物、及び他の非酸素材料への選択度を低下するけれども、非常に高いアスペクト比のホールにおけるポリマー化の僅かな過剰がホールの底へのエッチングを停止するようにする。ポリマーの炭素濃度はプラズマによってエッチングされるその抵抗及びその堆積の均一性に影響を与える。ポリマーの高いプラズマ抵抗はホトレジストの選択度を増加するが、一方、ポリマーの均一な堆積は高いアスペクト比のホールのエッチングのプロフィールを制御するのに役立つ。フルオロカーボン分子におけるフッ素と炭素原子の比で有るF/C比、及びこれらのFとC原子が一緒に結合する手段である分子結合構造は、ポリマー化及びポリマーの特性を制御するのにクリティカルである。高いF/Cは、強くエッチングするガスを示しているが、低いF/Cは、通常大量のポリマー化につながる。代わりに、結合構造は、より望ましいラジカルタイプと密度を有するプラズマを生成するのに必要なプロセス条件を決める。優れた結合構造およびy/x<2、より好ましくはy/x<1.6、そして最も好ましくはy/x<1.5である水素のない、フルオロカーボンCxFyの低いF/Cの利点は、ポリマー化が進んだ適用のための上述の狭いポリマー化ウインドウ内で制御されるようにする。
【0022】
オクタフルオロしクロブタン(C4F8)は、ポピュラーな酸化物エッチングガスであるが、F/C比の最大の好適な値に合致しない。オクタフルオロペンタジエン(C5F8)は、より好適なF/C比に合致する。ヘキサフルオロブタジエン(C4F6)は、最も好適なフルオロカーボンであるが、C4F6の他の異性体は知られている。ヘキサフルオロベンゼン(C6F6)は、更に低いF/C比を有しているが、その高い沸点と高い液体の粘性のために働かせるのが困難である。
【0023】
制御されたポリマー化によってこの制御可能性を達成するために、低いF/Cのフルオロカーボンと高いキャリアガスの僅かの有益な効果をポリマー酸化ガス、例えばガス状酸素(O2)または一酸化炭素(CO)と結合することはしばしば望ましい。これら二つのうち、酸素は非常に好ましい。何故ならば、一酸化炭素は、プラズマ内のF/C比の制御を複雑にし、より複雑なポリマーを生成する傾向があり、且つ有毒で、腐食性があると考えられるからである。水素もポリマー化し、プラズマ化学処理を複雑にするので、通常水素の無いフルオロカーボンを用いるのが好ましい。
【0024】
これらの低いF/C比のCxFxガスの利点は、このプラズマ密度の範囲内で、強い(heavy)、水素のないフルオロカーボンガスのユニークな分離パターンによって、低いか、または中間の密度のプラズマ内で実現される。我々は、容量性結合されたエッチングリアクター、例えば磁気的に増強された反応性イオンエッチング(MERIE)のために用いられるリアクタと関連した低いプラズマ密度(109〜1011/cm3)は高密度のプラズマ(HDP)エッチングリアクターと共に利用可能なものより優れたホトレジストの選択度を得るための利点を有している。非常に高い分離速度を有する高密度プラズマにおいて、フルオロカーボンプロセスガスは、酸化物及びホトレジストの双方へ非常に高いエッチング力、したがって、低いホトレジストの選択度を有する自由なフッ素ラジカルF*の高濃度を有する供給ガスの僅かな部分に素早く分離される。過剰な、自由なフッ素は、酸化物またはホトレジストのいずれかをエッチングプロセスによって、または代表的には、加熱されたシリコンから成るチャンバ部分による制御可能なスカベンジによって、消費されるのみである。このスカベンジの制御可能性は、プロセスパラメータの範囲及び部分材料によって制限され、通常、消耗品の費用とトレードオフになる。また、高密度プラズマは熱い電子を生成する傾向があり、プラズマを抜けたこれらの熱い電子は絶縁性のホトレジスト内にそれらを注入するおそれがあり、それによってホトレジストを負に帯電する。その後、トラップされた負の電荷は、プラズマからホトレジストへ正に帯電したイオンを加速する。これらの高いエネルギーのイオンはホトレジストをスパッタするであろう。一方、MERIEプラズマは、著しく低い電子の温度を生成する傾向にあり、それによりチャージング効果を減少する。
【0025】
これらの低いF/C比を有するエッチングガスの特性によって、比較的高い磁界がガスの分離パターンを大きく変えることなく用いられるようにする。これにより、磁界をポリマー形成に最小の影響を与えるプロセス条件を調整するために独立ノブとして用いることができる。MERIEエッチング装置に影響を与える磁界は109〜1011cm−3より幾らか小さな値の範囲内へプラズマイオン密度を増加することは知られている。もし、プラズマ密度を増加するために、増加するRF電力が用いられるなら、磁界はDCバイアスを増加することなくプラズマ密度を増加する。また、磁界は、プロセスガスのクラッキングシーケンス、したがって、最終プラズマの組成、に強く影響する。また、磁界は、適度に低いDCバイアスを犠牲にすることなく高い酸化物のエッチング速度のための高いRF電力を用いてプロセスを走らせることを可能にする。増大された磁界は、ペデスタル上のDC自己バイアスを減少し、したがって、イオン衝突エネルギーを減少する効果を有する。この効果は複雑であり、磁界及び電界の相互作用を含んでいる。基本的に、磁界はプラズマをしっかり閉じ込め、その密度を増加する。高いプラズマ密度は、固定された入力電力用のプラズマの等価電気回路において、多くの電流を与え、したがって、シース電圧を減少する。即ち、高プラズマ密度は自己バイアス電圧を減少する。減少されたDCバイアスは高エネルギーのイオン衝突によって促進されるホトレジストのコーナーの損失を減少し、したがって小面に関してホトレジストの選択度を減少する。
【0026】
一方、ビアの底に近い磁界を減少することは、その位置において異方性エッチングを形成する点で有利である。深く、狭いビアは、側壁のポリマーを厚く形成するイオン衝突がないために、それらの底に向かって内方にテーパを形成する傾向にある。低い磁界または高いDCバイアスからのより強いイオン衝突はコンタクトホールの底の部分を垂直にすることができる。また、低い磁界は、均一でない瞬時の磁界によって生じる電子のチャージ損傷を減少する。
【0027】
永久磁石以外の電気的コイルによって誘導される磁界によって与えられる可変磁界は、磁界の強さの優れた調整が分離の程度を制御することによって、プラズマ組成を制御するための効果的なプロセスチューニングノブとして用いられるようにする。プロセスパラメータの全ての調整可能性の広い範囲は、高いアスペクト比のコンタクト及びビアのエッチング及び他の特定の応用に対して所望のプラズマ組成を有する109〜1011/cm3間のプラズマ密度を維持することによって達成される。例えば、高磁界は、高い酸化物のエッチング及び高いホトレジストの選択度を維持するために、ビアホールのエッチングの開始部分において用いられる。ホールの底または良好なCDにおける垂直プロフィール、及び論理デバイスのプロセスに対して、電子のチャージ損傷を低くするために、プロセスの終わりのステップにおいて、磁界の強さは、下げられるか、またはターンオフされる。
【0028】
プラズマエッチングチャンバ内にエッチングガスの滞留時間は、プラズマ組成を制御するための追加のプロセスノブである。最適な滞留時間の範囲は、所望のプラズマ組成を達成する場合の臨界であると考えられる。また、プラズマ内のエッチャントガスの滞留時間は短いのが望ましいと考えられる。重水素の無いフルオロカーボン分子、例えばC4F6がプラズマに入ると、それは次第にもっと小さなものに割られる。短い滞留時間の間では、大部分の分離されたフルオロカーボンのラジカルCFX *があり、長い滞留時間では、大部分の分離されたフッ素ラジカルF*がある。過剰な量のフッ素ラジカルはホトレジストを攻撃するが、等しい量のフルオロカーボンラジカルはホトレジストに対するポリマー保護を与える。残留時間τRESは、
τRES∝PV/F
によって近似される。ここで、Pはチャンバ圧力、Vはチャンバ堆積、及びFは全体のリアクタントの流量である。厳守した滞留時間は、真空装置の増大したポンプ能力を必要とする。例えば、アルゴンのような不活性キャリアガスの大きな流量は滞留時間を調整するために用いることができる。例えば、反応性ガスの流量よりも大きい不活性ガスの高い流量は、大きなプロセスウインドウによってポリマーの制御を容易にし、最も重要なことは、ポリマーの堆積位置を制御するのに役立ち、上面のホトレジスト表面と鋭く垂直な酸化物フィーチャの側壁上の正しい量のポリマーをバランスさせることである。
【0029】
上述のコンセプトに基づいて、本発明は、これらの低い、F/C比のガスの本来の利点を利用し、進歩したMERIEチャンバによって与えられる適正なプラズマ密度、調整可能な磁界、及び短い滞留時間を含む優れたワーキング条件を組合せて、プラズマ組成を変え、したがって、種々の臨界的誘電体エッチングの適用に対して所望のポリマー化を得る。
【0030】
最適化されたプロセス条件を有する高アスペクト比(high aspect−ratio: HAR)のホールに対して、これらの低い、F/C比のガスは、優れたプラズマ抵抗でコーティングするポリマーを生成するためにプラズマを提供し、そして、ホトレジストが早すぎてエッチングされるのを保護する。これにより、高いホトレジストの選択度が達成される。また、ユニークなプラズマ組成はポリマー堆積を生成しない条件の下でホトレジストの低いエッチング速度を提供する。CxFy/O2比を調整することによって得られるプラズマ組成の制御可能性、したがって、フルオロカーボンによるポリマーの形成が酸素によるポリマー除去によってバランスされるにしたがって、ポリマー化の程度は、優れたプロフィール制御及びチャンバクリーニング間の増加した間隔、特に、ウエットクリーニング間で平均的なウエハ(mean wafers between wet clean: MWBWC)を達成する。さらに、プラズマはエッチングされる膜の余分な構成物に敏感でないエッチングプロセスを与える自由なフッ素を殆ど含まない。したがって、ドープされ、及びドープされない誘電体膜間での調整は殆ど必要でない。
【0031】
極端に高いホトレジストの選択度が必要ならば、フッ素がCOFとして除去されるにしたがって、非常に高い炭素濃度プラズマを生成するために、したがって、高度のポリマー化を達成するために、追加のガスとして一酸化炭素(CO)を含むことができる。酸素がプラズマ中で果たす重要な役割によって、酸化物対窒化物のエッチングの高い選択度は、酸化物膜から局部的に与えられる酸素の利用に基づいて達成される。
【0032】
35または50ガウスより大きな磁界の存在において、低DCバイアスを用いることができる。この低いDCバイアスプロセスにおける低いイオン衝突エネルギーは、エッチング後のホトレジストの面上で測られるホトレジストの高い選択度に貢献する。エッチングプロセスの最終ステップにおける磁界の変化は、ボトムCD制御のためのノブである。最適の圧力及び流れもボトムCD制御を改善する。プロセスガスの流れ及び圧力を最適化することによって、プラズマ組成は、特に、フルオロメタンのラジカルCFx *(X=1、2または3)の濃度がエッチングプロフィールの制御、特にボトムCDに役立つラジカルの最適化された比を達成するために調整(チューニング)されることができる。また、最適化された圧力及び流れはマイクロローディング性能も改善する。正規の、及び逆のマイクロローディングは流れと圧力を適当に調整して達成され、これら2つは最小のマイクロローディングポイントを得るためにバランスされる。この調整によって、大きなプロセスウインドウを有するプロセスにおいて高いRF電力を用いることを可能にする。低い、F/C比のガスの分離パターンは加えられるRF電力と比較的無関係である。これによって、ウエハ処理の他の特徴に最小の影響で高いRF電力を用いることができる。高い電力は、高いエチング速度を生じ、したがってホトレジストの選択度に最小のトレードオフでウエハのスループットが得られる。
【0033】
これらの観察に基づいて、酸化物に高アスペクト比のコンタクトホールをエッチングするためのレシピが開発され、表1に要約されている。
【0034】
【表1】
表1
【0035】
このレシピの重要な特徴は、強いフルオロカーボンC4F6の流量に対する酸素ガスの流量の比によって特徴付けられる。この比は、エッチングされている酸化物膜の組成に依存して変えられ、そしてコンタクトホールのいろいろな形状のために、異なる酸素の流速を有する2つまたはそれ以上のステップが用いられる。例えば、効果的なアスペクト比がエッチングプロセスとして変化するに従って、酸素部分が増加することができる。このヘビーなフルオロカーボンの量の少なくとも10倍のアルゴンの量、好ましくは、少なくとも20倍は、エッチング停止マージンを増加するのに役立つであろう。重いフルオロカーボンの100倍より多くのアルゴンは不必要と思われる。
【0036】
このレシピは、厚さ、2.0μmのBPSG酸化物層において0.17μmの幅を有する高アスペクト比、即ち、12:1のアスペクト比のホールに対して用いられた。このレシピは、0.75μm/分のBPSGエッチング速度及び10:1より大きなホトレジストの選択度を生成する。ホトレジストの選択度は、(1)通してエッチングされる深さプラス用いられるオバーエッチング時間に相当する深さと(2)元のホトレジストの表面(この場合、0.6μm)から低いホトレジストの小面のコーナー(この場合、0.28μm)の深さとの比として測られる。側壁の傾斜各によって測られたホールのプロフィールは、0.14μmの合計のボトムCDを有する89.5°である。
【0037】
8.1のアスペクト比を生じるTEOS酸化物の0.82μm上に0.1μmのホトマスクの幅を有する狭いビアホールのための同様なレシピが開発された。このレシピは表2に要約されている。
【0038】
【表2】
表2
【0039】
このレシピは、膜の組成の違いのために前のレシピが用いた酸素より多くの酸素を用いている。この場合、エッチング速度は、小さなホール寸法及びTEOS膜がBPSG膜よりエッチングするのが難しいことにより、比較的低かった(>0.4μm/分)。この比較的低いエッチング速度は、〜5:1の比較的低いホトレジストを導く。89.4°のコンタクトホールのプロフィール角度が0.08μmのボトムCDを生じて達成される。したがって、レシピは、非常に小さなフィーチャ寸法に対して、しかし酸化物のエッチング速度及びホトレジストの選択度の減少された値に適合される。
【0040】
幾つかの場合に、通常、アスペクト比が比較的高い(7〜8:1またはそれ以上)及び水平のフィーチャサイズが比較的大きい(0.35μm)とき、ホールプロフィールの優れた制御のために、2またはそれ以上のレシピステップが必要とされる。一例として表3が与えられる。
【0041】
【表3】
表3
【0042】
第2ステップにおける酸素の流量は、第1ステップのそれより小さい。この減少は、ホールが深くなると、側壁の保護を増すために必要とされる高いポリマー化を生成し、ホールの曲がったプロフィールを減少する。減少した酸素のステップにより、第1ステップの酸素の流量は僅かに増加されており、全体のホトレジストの選択度を過度に犠牲にすることなく、単一のステプレシピに用いることができる。高い酸素の第1ステップは、コンタクトホールのネック(ホトレジスト/酸化物の境界の直ぐ下の部分)に形成されるポリマーを減少し、したがって、さらにプロフィールの制御を支援する。結果として、この2つのステップのレシピは単一ステップのエッチングレシピより鋭いプロフィールを達成する。特別な場合、このレシピは、2.8μmの厚さのTEOS膜に0.35μmの直径のコンタクトホールをエッチングするために用いられた。0.65〜0.7μm/分の全体のエッチング速度が約5:1のホトレジストの選択度と共に得られた。0.29μmのボトムCDが98.4°の側壁プロフィール角度と共に得られた。
【0043】
表3のレシピの第2ステップは、低い磁界によっても第1ステップと区別される。前に説明したように、これは、ウエハに加えられる実効DCバイアスを増加することによってボトムCD(テーパの少ない)を増加する役目をし、それにより、ホールの底におけるイオン衝突エネルギーを増加する。この特別なレシピは、コンタクトホールの底において酸化物膜とシリコン基板の間に形成される窒化シリコン膜を伴ってウエハ上で走(ラン)された。レシピの優れた窒素化物の選択度を示して、20%より多い過剰エッチング後に目に見えない窒化物のロスが観察された。
【0044】
全ての上記のレシピは同様に特徴のあるエッチングガスを有している。酸素の量は、ヘキサフルオロブタジエンの量とほぼ等しいか、それより幾らか少ない。他の条件に依存して、0.4:1と2:1の間のO2とC4F6の比によって、多くの同じ有益な効果を得る。ヘキサフルオロブタジエンの流量に対するアルゴンの流量は高く、少なくとも10倍より大きく、好適には20倍より大きい。COがある状態において加えられるが、それはこの構造に対しては必要ではない。
【0045】
上述の3つのレシピより早く開発された一般のレシピに基づいて、システマティックな研究が続けられた。一般のレシピは表4に要約されている。傾向及びウインドウは全く同じように期待される。
【0046】
【表4】
表4
【0047】
酸化物のエッチング速度とホトレジストの選択度は、多くの処理パラメータに依存して相殺するような傾向を示している。幾つかの変化が殆ど効果のないことを示しているが、一方、他のものは重要な傾向を示し、あるものはテストされた範囲の中間では最適な値を有している。
【0048】
酸化物のエッチング速度とホトレジストの選択度の滞留時間に対する依存度が図4に示されている。これらのデータ及び上述のレシピに対して、チャンバ体積は25リットルであるが、プラズマ体積は10.6リットルと見積もられ、真空ポンピング速度は約1300リットル/秒である。酸化物のエッチング速度はライン104によって示され、ホトレジストの選択度はライン106によって示されている。約37と100ms間の滞留時間において、酸化物のエッチング速度は、40ms以下ではエッチング速度が減少しているように見えるが、明らかには変わっていない。しかし、ホトレジストの選択度は、37msの滞留時間における約10:1から61msにおいて約8.5:1へと減少している。さらなる滞留時間の増加は、僅かではあるがホトレジストの選択度を減少している。これらの結果は、70msより少ない滞留時間は良好なホトレジストの選択度を与えることを示している。50msまたはそれより少ない滞留時間はさらに良い。しかし、恐らく、滞留時間の設定点を達成するために用いられたDCバイアスに対する圧力の低下から生じるDCバイアスの増加により、30ms以下への滞留時間のさらなる減少は、ホトレジストの選択度を押し下げる下も知れない。
【0049】
酸化物のエッチング速度とホトレジストの選択度の磁界に対する依存度が図5に示されている。ライン108は酸化物のエッチング速度の依存度を、またライン110はホトレジストの依存度をそれぞれ示している。エッチング速度は50ガウス以上で著しく増加する。ホトレジストの選択度は、磁界の増加にほぼ比例して増加しており、50ガウスより大きな値が好適である。エッチング速度の増加は、磁界の増加によるプラズマ密度の増加によるが、一方ホトレジストの選択度の増加は、高い磁界から生じる低いDCバイアスによると考えられる。これらの結果は35ガウスの最小磁界にやや一般化されることができる。
【0050】
酸化物のエッチング速度とホトレジストの選択度のRF電力のレベルに対する依存度が図6に示されている。酸化物のエッチン速度に対する結果がライン112によって示され、ホトレジストの選択度に対する結果がライン114によって示されている。期待されるように、酸化物のエッチング速度はRF電力と共に増加する。しかし、ホトレジストの選択度は約1800Wでピークを示す。これは、RF電力がプラズマ密度とDCバイアスの双方に正の影響を与え、酸化物とホトレジストに関するエッチング速度を増加するからである。さらに、RF電力が増加しつづけると、この影響は、酸化物に関しては減少し、ホトレジストに関しては増加する。このピークについての幾らかの変化が、例えば、エッチングされるべきいろいろな膜に対して期待され、最小のホトレジストの選択度に対するRF電力の好適な範囲は、200mmの直径のウエハに対して、1650〜2100Wに広がる。電力は、一般にウエハの面積に比例する。
【0051】
チャンバ圧力の変化に対応する結果が図7に示されている。酸化物のエッチング速度はライン116によって、またホトレジストの選択度はライン118によって示されている。酸化物のエッチング速度は25ミリトルで約0.7μm/分から70ミリトルで約0.62μm/分へ減少し、一方、ホトレジストの選択度は、同じ範囲にわたって約9.3から8へ減少する。明らかに、酸化物のエッチング速度及びホトレジストの選択度の双方に対して、低い圧力での動作が望まれる。これについても、幾らかの変化が期待される。テストされた範囲における未知の低い限界を伴って、高い、酸化物のエッチング速度に対して、チャンバ圧力は40ミリトルより大きくない。高い、ホトレジストの選択度に対して、チャンバの圧力は、40ミリトルより大きくないが、しかし、ホトレジストの選択度が低下する決められない低い限界が存在する。前述のように、滞留時間は低い圧力に対して減少する。エッチャントの流量が比較高い場合、チャンバ圧力に関する低い限界は、真空装置のポンピングスピードによって定められる。
【0052】
同様に良好な結果を達成するために、フルオロカーボンとしてC4F8に基づいて、MERIEリアクタのためのエッチングレシピを最適化する試みがなされた。これらの努力は部分的に成功した。最良のレシピはCOの使用を必要とした。C4F8によって得られた酸化物のエッチング速度は、C4F6と同程度に良い。しかし、ホトレジストの選択度、特に小面においては、非常に好ましくない。これらの理由に対して、C4F6は、容量結合されたリアクタにおいての使用に対して、特にホトレジストの選択度が非常に重要である高いアスペクト比のホールに対して、非常に優れたフルオロカーボンである、と考えられる。
【0053】
C4F8に対してC4F6によって得られた有益な結果は、多くの炭素の豊富なポリマーの生成から生じる、と考えられる。C4F8に対するF/Cの比が2.0であるのと比較して、C4F6は1.5のF/Cの比を有しているので、余分な炭素は利用可能である。他の水素の無いフルオロカーボンは、同様に有利なF/C比を有しており、利用可能なものの殆どは、少なくとも4の炭素原子を有している。C4F6はの他の幾つかの異性体、例えば、ヘキサフルオロシクロブタンまたはペルフルオロブチンがる。1.6と1.0のそれぞれのF/C比を有するオクタフルオロペンタジエン(C5F8)及びペルフルオロベンゼン(C6F6)は、上述のレシピレジームには無いけれども、酸化物のエッチング用に提案されている。強くポリマー化している、1つの水素原子のヘビーなフルオロカーボンへの追加は、もし、低いF/C比が依然として得られるならば、殆ど効果が無い。炭素の豊富なポリマーは窒化物の選択度及び側壁の保護に有利であるけれども、それは、ホトレジストの選択度に特に有利である。炭素の豊富なポリマーはホトレジストに殆ど似ており、ホトレジストの分解に損失を伴わないホトレジストを効果的に厚くすることにつながる。ホトレジストの小面は依然として生じるようであるが、しかしホトレジストとポリマーの組合された厚さに対して、効果的なホトレジストの選択度を増加する。
【0054】
上に示したレシピは、全て、化学的に不活性の希釈ガスとしてアルゴンを用いる。代わりに、キセノンが用いられるなら、さらに良好な結果が予測される。しかし、キセノンは高価なガスであり、供給不足にある。したがって、もし、十分なレシピが利用できるなら、アルゴンが好適である。キセノンは最も重要なアプリケーションに対して用いることができる。、例えば、アルゴンによって第1レベル(コンタクト)の中間レベルのエッチングが上部レベル(ビア)のエッチングを必要としないものに対して用いられる場合である。
【0055】
上記の説明は、ホトレジストの高い選択度の場合についての開示されたレシピの利点を強調したけれども、これらのレシピは、例えば、もし、窒化物または他のハードマスクが酸化物を覆っており、酸化物に対して用いられるエッチングレシピと異なるエッチングレシピでパターン化されるなら、ホトレジストの選択度を必要としないアプリケーションにおいても利用可能である。
【図面の簡単な説明】
【図1】
高アスペクト比のホールの断面図である。
【図2】
磁気的に増強された容量結合されたプラズマエッチングリアクターの概略図である。
【図3】
DC自己バイアスに対する酸化物のエッチング速度及びホトレジストの選択度の依存度のグラフである。
【図4】
リアクタントの滞留時間に対する酸化物のエッチング速度及びホトレジストの選択度の依存度のグラフである。
【図5】
磁界に対する酸化物のエッチング速度及びホトレジストの選択度の依存度のグラフである。
【図6】
RF電力に対する酸化物のエッチング速度及びホトレジストの選択度の依存度のグラフである。
【図7】
チャンバ圧力に対する酸化物のエッチング速度及びホトレジストの選択度の依存度のグラフである。
Claims (19)
- ホトレジストに対して増大した選択度を有する酸化物層のエッチン方法であって、
エッチングされるべき前記酸化物層を有する基板を支持するペデスタル電極を有する磁気的に増強されたプラズマエッチングリアクターチャンバを備えるステップと、
(a)少なくとも4の炭素原子及び2より少ないF/C比を有するフルオロカーボン、(b)酸素、(c)アルゴン及びキセノンからなるグループから選択された化学的に不活性のキャリアガスを有するエッチングの混合ガスを前記チャンバへ流すステップと、
前記ペデスタル電極に実質的に平行で、35ガウスより大きな磁力を有する磁界を与えるステップと、
前記エッチングの混合ガスをプラズマに励起するために、前記ペデスタル電極にRF電力を与えるステップとを有し、それにより、少なくとも5:1の前記ホトレジストの面に対してエッチングの選択度を有する前記酸化物層を覆うホトレジストを有するパターン化されたホトマスクによって規定された前記酸化物層へホールをエッチンすることを特徴とするエッチング方法。 - 第1ステップにおいて、前記磁界は50ガウスより大きな第1の磁力に与えられ、且つ第2ステップにおいて、前記磁界は、前記第1の磁力より少ない第2の磁力に与えられることを特徴とする請求項1に記載のエッチング方法。
- 前記フルオロカーボンは水素がなく、前記酸素の流量と前記フルオロカーボンの流量の比が0.4:1および2:1の間にあることを特徴とする請求項1に記載のエッチング方法。
- 前記フルオロカーボンは、1.6より多くないF/C比を有することを特徴とする請求項3に記載のエッチング方法。
- 前記フルオロカーボンは、C6F6を有することを特徴とする請求項4に記載のエッチング方法。
- 前記キャリアガスの流量と前記フルオロカーボンの流量の第2の比は、少なくとも10であることを特徴とする請求項4に記載のエッチング方法。
- 更に、70ミリ秒より多くない、前記チャンバ内に前記エッチングの混合ガスの滞留時間を維持するために、前記チャンバを真空ポンピングするステップを有することを特徴とする請求項1に記載のエッチング方法。
- 前記エッチングの混合ガスは、実質的に一酸化炭素を含まないことを特徴とする請求項1に記載のエッチング方法。
- 前記エッチングの混合ガスは、さらに、一酸化炭素を有することを特徴とする請求項1に記載のエッチング方法。
- 酸化物層にホールをエッチングする方法であって、
磁気的に増強された反応性イオンプラズマエッチングリアクターを備えるステップと、
第1の量のC4F6を、第2の量のO2、および第3の量のArを有するエッチングの混合ガスを前記リアクターに流すステップと、
前記リアクターに磁界を与えるステップと、
前記酸化物層を含む基板を支持するペデスタル電極にRF電力を加えて、前記エッチングの混合ガスをプラズマに励起して、前記酸化物層をエッチングするステップと、
を有し、
前記第2の量と前記第1の量の第1の比は、0.4:1から2:1の間にあり、前記第3の量と前記第1の量の第2の比は、少なくとも10であることを特徴とするエッチングをする方法。 - 前記第1の比は、0.5:1と1.2:1の間にあることを特徴とする請求項10に記載の方法。
- 前記第2の比は、20より大きいことを特徴とする請求項11に記載の方法。
- さらに、少なくとも10ミリトルの圧力へ前記リアクターを真空ポンピングするステップを有する請求項10に記載の方法。
- 前記真空ポンピングするステップは、70ミリ秒より多くない、前記リアクタ内に前記エッチングの混合ガスの滞留時間を維持することを特徴とする請求項12に記載の方法。
- 前記磁界は、少なくとも35ガウスのレベルに与えられることを特徴とする請求項10に記載の方法。
- 前記エッチングの混合ガスは、実質的に一酸化炭素を含まないことを特徴とする請求項10に記載の方法。
- 前記RF電力は、標準化された1650〜2100Wの間の量で直径が200mmのウエハに与えられることを特徴とする請求項10に記載の方法。
- 前記磁界を与えるステップは、第1ステップにおいて前記磁界を少なくとも50ガウスのレベルに与え、且つ第2ステップにおいて前記磁界を50ガウスより少ないレベルに与えることを特徴とする請求項10に記載の方法。
- 前記酸化物の層は、ホトレジストの層でパターン化され、且つ前記プラズマは、少なくとも5:1の前記ホトレジストの面に足して前記酸化物層を選択的にエッチングすることを特徴とする請求項10に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/522,374 US6451703B1 (en) | 2000-03-10 | 2000-03-10 | Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas |
PCT/US2001/040277 WO2001068939A2 (en) | 2000-03-10 | 2001-03-09 | Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2004512668A true JP2004512668A (ja) | 2004-04-22 |
Family
ID=24080606
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001567814A Pending JP2004512668A (ja) | 2000-03-10 | 2001-03-09 | フルオロカーボンのエッチングガスを用いた磁気的に増強されたプラズマエッチング方法 |
Country Status (5)
Country | Link |
---|---|
US (2) | US6451703B1 (ja) |
JP (1) | JP2004512668A (ja) |
KR (1) | KR100756704B1 (ja) |
TW (1) | TW538476B (ja) |
WO (1) | WO2001068939A2 (ja) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2005117082A1 (ja) * | 2004-05-31 | 2005-12-08 | National Institute Of Advanced Industrial Science And Technology | ドライエッチングガスおよびドライエッチング方法 |
JP2006156992A (ja) * | 2004-11-05 | 2006-06-15 | Tokyo Electron Ltd | プラズマ処理方法 |
JP2015533029A (ja) * | 2012-10-30 | 2015-11-16 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 高アスペクト比酸化物エッチング用のフルオロカーボン分子 |
JP7488959B2 (ja) | 2020-08-13 | 2024-05-22 | アプライド マテリアルズ インコーポレイテッド | 光学デバイス構造を製造するための方法 |
Families Citing this family (78)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6849193B2 (en) * | 1999-03-25 | 2005-02-01 | Hoiman Hung | Highly selective process for etching oxide over nitride using hexafluorobutadiene |
US6432318B1 (en) * | 2000-02-17 | 2002-08-13 | Applied Materials, Inc. | Dielectric etch process reducing striations and maintaining critical dimensions |
US7030335B2 (en) * | 2000-03-17 | 2006-04-18 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression |
US8617351B2 (en) | 2002-07-09 | 2013-12-31 | Applied Materials, Inc. | Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction |
US7220937B2 (en) * | 2000-03-17 | 2007-05-22 | Applied Materials, Inc. | Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination |
US6900596B2 (en) * | 2002-07-09 | 2005-05-31 | Applied Materials, Inc. | Capacitively coupled plasma reactor with uniform radial distribution of plasma |
US6853141B2 (en) | 2002-05-22 | 2005-02-08 | Daniel J. Hoffman | Capacitively coupled plasma reactor with magnetic plasma control |
US8048806B2 (en) | 2000-03-17 | 2011-11-01 | Applied Materials, Inc. | Methods to avoid unstable plasma states during a process transition |
US6894245B2 (en) * | 2000-03-17 | 2005-05-17 | Applied Materials, Inc. | Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression |
US6528751B1 (en) | 2000-03-17 | 2003-03-04 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma |
US7141757B2 (en) * | 2000-03-17 | 2006-11-28 | Applied Materials, Inc. | Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent |
WO2001086701A2 (en) * | 2000-05-12 | 2001-11-15 | Tokyo Electron Limited | Method of high selectivity sac etching |
JP4566373B2 (ja) * | 2000-09-21 | 2010-10-20 | 東京エレクトロン株式会社 | 酸化膜エッチング方法 |
US6756315B1 (en) * | 2000-09-29 | 2004-06-29 | Cypress Semiconductor Corporation | Method of forming contact openings |
US6797639B2 (en) * | 2000-11-01 | 2004-09-28 | Applied Materials Inc. | Dielectric etch chamber with expanded process window |
JP4008352B2 (ja) * | 2000-12-21 | 2007-11-14 | 東京エレクトロン株式会社 | 絶縁膜のエッチング方法 |
US20020142610A1 (en) * | 2001-03-30 | 2002-10-03 | Ting Chien | Plasma etching of dielectric layer with selectivity to stop layer |
US7374636B2 (en) * | 2001-07-06 | 2008-05-20 | Applied Materials, Inc. | Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor |
JP4009087B2 (ja) | 2001-07-06 | 2007-11-14 | アプライド マテリアルズ インコーポレイテッド | 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法 |
US7033514B2 (en) * | 2001-08-27 | 2006-04-25 | Micron Technology, Inc. | Method and apparatus for micromachining using a magnetic field and plasma etching |
US6787475B2 (en) * | 2001-09-06 | 2004-09-07 | Zhuxu Wang | Flash step preparatory to dielectric etch |
JP2006501634A (ja) * | 2001-12-13 | 2006-01-12 | アプライド マテリアルズ インコーポレイテッド | 基板をエッチングするための方法及び装置 |
US20030118948A1 (en) * | 2001-12-21 | 2003-06-26 | Rohit Grover | Method of etching semiconductor material to achieve structure suitable for optics |
US6699795B1 (en) | 2002-03-15 | 2004-03-02 | Cypress Semiconductor Corp. | Gate etch process |
US20040040664A1 (en) * | 2002-06-03 | 2004-03-04 | Yang Jang Gyoo | Cathode pedestal for a plasma etch reactor |
TWI283899B (en) | 2002-07-09 | 2007-07-11 | Applied Materials Inc | Capacitively coupled plasma reactor with magnetic plasma control |
US7049034B2 (en) * | 2003-09-09 | 2006-05-23 | Photronics, Inc. | Photomask having an internal substantially transparent etch stop layer |
JP4071069B2 (ja) * | 2002-08-28 | 2008-04-02 | 東京エレクトロン株式会社 | 絶縁膜のエッチング方法 |
DE10246063A1 (de) * | 2002-10-02 | 2004-04-22 | Robert Bosch Gmbh | Verfahren zum anisotropen Ätzen eines Siliziumsubstrates |
US7458335B1 (en) | 2002-10-10 | 2008-12-02 | Applied Materials, Inc. | Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils |
US7977390B2 (en) | 2002-10-11 | 2011-07-12 | Lam Research Corporation | Method for plasma etching performance enhancement |
US6896775B2 (en) * | 2002-10-29 | 2005-05-24 | Zond, Inc. | High-power pulsed magnetically enhanced plasma processing |
US6853142B2 (en) * | 2002-11-04 | 2005-02-08 | Zond, Inc. | Methods and apparatus for generating high-density plasma |
US7041230B2 (en) * | 2003-01-21 | 2006-05-09 | Lam Research Corporation | Method for selectively etching organosilicate glass with respect to a doped silicon carbide |
US7422654B2 (en) * | 2003-02-14 | 2008-09-09 | Applied Materials, Inc. | Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor |
US6869542B2 (en) * | 2003-03-12 | 2005-03-22 | International Business Machines Corporation | Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials |
US7323417B2 (en) * | 2004-09-21 | 2008-01-29 | Molecular Imprints, Inc. | Method of forming a recessed structure employing a reverse tone process |
US7294580B2 (en) * | 2003-04-09 | 2007-11-13 | Lam Research Corporation | Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition |
US20040206213A1 (en) * | 2003-04-18 | 2004-10-21 | Chih-Ching Hsien | Wrench having a holding structure |
US7795153B2 (en) | 2003-05-16 | 2010-09-14 | Applied Materials, Inc. | Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters |
US7910013B2 (en) | 2003-05-16 | 2011-03-22 | Applied Materials, Inc. | Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure |
US7901952B2 (en) | 2003-05-16 | 2011-03-08 | Applied Materials, Inc. | Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters |
US7083903B2 (en) * | 2003-06-17 | 2006-08-01 | Lam Research Corporation | Methods of etching photoresist on substrates |
US20050014383A1 (en) * | 2003-07-15 | 2005-01-20 | Bing Ji | Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas |
US7256134B2 (en) * | 2003-08-01 | 2007-08-14 | Applied Materials, Inc. | Selective etching of carbon-doped low-k dielectrics |
US6972258B2 (en) * | 2003-08-04 | 2005-12-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for selectively controlling damascene CD bias |
US20050103267A1 (en) | 2003-11-14 | 2005-05-19 | Hur Gwang H. | Flat panel display manufacturing apparatus |
US7297628B2 (en) * | 2003-11-19 | 2007-11-20 | Promos Technologies, Inc. | Dynamically controllable reduction of vertical contact diameter through adjustment of etch mask stack for dielectric etch |
JP3998003B2 (ja) * | 2004-04-23 | 2007-10-24 | ソニー株式会社 | プラズマエッチング法 |
US20050241764A1 (en) * | 2004-05-03 | 2005-11-03 | Letson Thomas A | Baffle to reduce azimuthal etch asymmetry |
US8648977B2 (en) | 2004-06-02 | 2014-02-11 | Applied Materials, Inc. | Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors |
CN1778986B (zh) * | 2004-06-02 | 2015-08-19 | 应用材料公司 | 用于密封腔室的方法和装置 |
US7915175B1 (en) | 2004-06-25 | 2011-03-29 | Cypress Semiconductor Corporation | Etching nitride and anti-reflective coating |
US7316785B2 (en) * | 2004-06-30 | 2008-01-08 | Lam Research Corporation | Methods and apparatus for the optimization of etch resistance in a plasma processing system |
US7250373B2 (en) * | 2004-08-27 | 2007-07-31 | Applied Materials, Inc. | Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate |
US20060051681A1 (en) * | 2004-09-08 | 2006-03-09 | Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut | Method of repairing a photomask having an internal etch stop layer |
US7547504B2 (en) | 2004-09-21 | 2009-06-16 | Molecular Imprints, Inc. | Pattern reversal employing thick residual layers |
US7205244B2 (en) | 2004-09-21 | 2007-04-17 | Molecular Imprints | Patterning substrates employing multi-film layers defining etch-differential interfaces |
US7430986B2 (en) | 2005-03-18 | 2008-10-07 | Lam Research Corporation | Plasma confinement ring assemblies having reduced polymer deposition characteristics |
US7359177B2 (en) * | 2005-05-10 | 2008-04-15 | Applied Materials, Inc. | Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output |
JP2007013081A (ja) * | 2005-06-30 | 2007-01-18 | Hynix Semiconductor Inc | 深いコンタクトホールを有する半導体素子の製造方法 |
US20070077763A1 (en) * | 2005-09-30 | 2007-04-05 | Molecular Imprints, Inc. | Deposition technique to planarize a multi-layer structure |
US8399360B1 (en) | 2005-11-17 | 2013-03-19 | Cypress Semiconductor Corporation | Process for post contact-etch clean |
US7910489B2 (en) | 2006-02-17 | 2011-03-22 | Lam Research Corporation | Infinitely selective photoresist mask etch |
US20070197040A1 (en) * | 2006-02-23 | 2007-08-23 | Tokyo Electron Limited | Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium |
US7828987B2 (en) * | 2006-03-20 | 2010-11-09 | Applied Materials, Inc. | Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits |
US7605063B2 (en) * | 2006-05-10 | 2009-10-20 | Lam Research Corporation | Photoresist stripping chamber and methods of etching photoresist on substrates |
KR100752189B1 (ko) * | 2006-08-07 | 2007-08-27 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조 방법 |
US20080110567A1 (en) * | 2006-11-15 | 2008-05-15 | Miller Matthew L | Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution |
KR100792405B1 (ko) * | 2007-01-03 | 2008-01-09 | 주식회사 하이닉스반도체 | 벌브형 리세스 패턴의 제조 방법 |
US20110226739A1 (en) * | 2010-03-19 | 2011-09-22 | Varian Semiconductor Equipment Associates, Inc. | Process chamber liner with apertures for particle containment |
US20130122712A1 (en) * | 2011-11-14 | 2013-05-16 | Jong Mun Kim | Method of etching high aspect ratio features in a dielectric layer |
US9165785B2 (en) * | 2013-03-29 | 2015-10-20 | Tokyo Electron Limited | Reducing bowing bias in etching an oxide layer |
US9748366B2 (en) | 2013-10-03 | 2017-08-29 | Applied Materials, Inc. | Etching oxide-nitride stacks using C4F6H2 |
US10658222B2 (en) * | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
JP2016207788A (ja) * | 2015-04-20 | 2016-12-08 | 東京エレクトロン株式会社 | 上部電極の表面処理方法、プラズマ処理装置及び上部電極 |
US20180269273A1 (en) * | 2017-03-20 | 2018-09-20 | Globalfoundries Inc. | Interconnect structures for a metal-insulator-metal capacitor |
JP7027674B2 (ja) | 2017-09-13 | 2022-03-02 | エルジー・ケム・リミテッド | パターン化基板の製造方法 |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0485928A (ja) * | 1990-07-30 | 1992-03-18 | Sony Corp | ドライエッチング方法 |
JPH06275567A (ja) * | 1993-03-19 | 1994-09-30 | Tokyo Electron Yamanashi Kk | プラズマ装置 |
JPH0831802A (ja) * | 1994-07-18 | 1996-02-02 | Hitachi Ltd | エッチング方法及びエッチング装置 |
JPH08316209A (ja) * | 1995-05-22 | 1996-11-29 | Sony Corp | 積層絶縁膜のプラズマエッチング方法 |
JPH11135482A (ja) * | 1997-10-29 | 1999-05-21 | Matsushita Electron Corp | 半導体装置の製造方法およびドライエッチング装置の反応室環境制御方法 |
JPH11204297A (ja) * | 1998-01-19 | 1999-07-30 | Hitachi Ltd | プラズマ処理装置及びプラズマ処理方法 |
JPH11243082A (ja) * | 1997-12-27 | 1999-09-07 | Tokyo Electron Ltd | エッチング方法 |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS57108267A (en) | 1980-12-26 | 1982-07-06 | Showa Denko Kk | Etching method |
JPS57155732A (en) | 1981-03-20 | 1982-09-25 | Sharp Corp | Dry etching |
US5300460A (en) * | 1989-10-03 | 1994-04-05 | Applied Materials, Inc. | UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers |
US5707486A (en) * | 1990-07-31 | 1998-01-13 | Applied Materials, Inc. | Plasma reactor using UHF/VHF and RF triode source, and process |
US6444137B1 (en) * | 1990-07-31 | 2002-09-03 | Applied Materials, Inc. | Method for processing substrates using gaseous silicon scavenger |
JP3038950B2 (ja) * | 1991-02-12 | 2000-05-08 | ソニー株式会社 | ドライエッチング方法 |
JP3154128B2 (ja) * | 1991-05-24 | 2001-04-09 | ソニー株式会社 | ドライエッチング方法 |
US5770098A (en) * | 1993-03-19 | 1998-06-23 | Tokyo Electron Kabushiki Kaisha | Etching process |
JP3252518B2 (ja) | 1993-03-19 | 2002-02-04 | ソニー株式会社 | ドライエッチング方法 |
JPH09191002A (ja) * | 1996-01-10 | 1997-07-22 | Sony Corp | プラズマエッチング方法 |
JP3283477B2 (ja) * | 1997-10-27 | 2002-05-20 | 松下電器産業株式会社 | ドライエッチング方法および半導体装置の製造方法 |
US6159862A (en) * | 1997-12-27 | 2000-12-12 | Tokyo Electron Ltd. | Semiconductor processing method and system using C5 F8 |
US6387287B1 (en) * | 1998-03-27 | 2002-05-14 | Applied Materials, Inc. | Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window |
-
2000
- 2000-03-10 US US09/522,374 patent/US6451703B1/en not_active Expired - Fee Related
-
2001
- 2001-03-09 JP JP2001567814A patent/JP2004512668A/ja active Pending
- 2001-03-09 WO PCT/US2001/040277 patent/WO2001068939A2/en active Search and Examination
- 2001-03-09 TW TW090105633A patent/TW538476B/zh not_active IP Right Cessation
- 2001-03-09 KR KR1020027005520A patent/KR100756704B1/ko not_active IP Right Cessation
-
2002
- 2002-05-13 US US10/144,365 patent/US6613689B2/en not_active Expired - Fee Related
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0485928A (ja) * | 1990-07-30 | 1992-03-18 | Sony Corp | ドライエッチング方法 |
JPH06275567A (ja) * | 1993-03-19 | 1994-09-30 | Tokyo Electron Yamanashi Kk | プラズマ装置 |
JPH0831802A (ja) * | 1994-07-18 | 1996-02-02 | Hitachi Ltd | エッチング方法及びエッチング装置 |
JPH08316209A (ja) * | 1995-05-22 | 1996-11-29 | Sony Corp | 積層絶縁膜のプラズマエッチング方法 |
JPH11135482A (ja) * | 1997-10-29 | 1999-05-21 | Matsushita Electron Corp | 半導体装置の製造方法およびドライエッチング装置の反応室環境制御方法 |
JPH11243082A (ja) * | 1997-12-27 | 1999-09-07 | Tokyo Electron Ltd | エッチング方法 |
JPH11204297A (ja) * | 1998-01-19 | 1999-07-30 | Hitachi Ltd | プラズマ処理装置及びプラズマ処理方法 |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2005117082A1 (ja) * | 2004-05-31 | 2005-12-08 | National Institute Of Advanced Industrial Science And Technology | ドライエッチングガスおよびドライエッチング方法 |
JPWO2005117082A1 (ja) * | 2004-05-31 | 2008-04-03 | 独立行政法人産業技術総合研究所 | ドライエッチングガスおよびドライエッチング方法 |
JP2006156992A (ja) * | 2004-11-05 | 2006-06-15 | Tokyo Electron Ltd | プラズマ処理方法 |
JP2015533029A (ja) * | 2012-10-30 | 2015-11-16 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 高アスペクト比酸化物エッチング用のフルオロカーボン分子 |
JP7488959B2 (ja) | 2020-08-13 | 2024-05-22 | アプライド マテリアルズ インコーポレイテッド | 光学デバイス構造を製造するための方法 |
Also Published As
Publication number | Publication date |
---|---|
WO2001068939A2 (en) | 2001-09-20 |
US20020173162A1 (en) | 2002-11-21 |
WO2001068939A3 (en) | 2002-05-30 |
TW538476B (en) | 2003-06-21 |
KR20040007210A (ko) | 2004-01-24 |
US6451703B1 (en) | 2002-09-17 |
KR100756704B1 (ko) | 2007-09-07 |
US6613689B2 (en) | 2003-09-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6451703B1 (en) | Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas | |
US6284149B1 (en) | High-density plasma etching of carbon-based low-k materials in a integrated circuit | |
US6211092B1 (en) | Counterbore dielectric plasma etch process particularly useful for dual damascene | |
US5843847A (en) | Method for etching dielectric layers with high selectivity and low microloading | |
US6991739B2 (en) | Method of photoresist removal in the presence of a dielectric layer having a low k-value | |
US7256134B2 (en) | Selective etching of carbon-doped low-k dielectrics | |
EP1042796B1 (en) | Improved techniques for etching an oxide layer | |
JP4657458B2 (ja) | 低容量の誘電体層をエッチングするための技術 | |
KR101029947B1 (ko) | 플라즈마 에칭 성능 강화를 위한 방법 | |
US20060043066A1 (en) | Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches | |
US6432832B1 (en) | Method of improving the profile angle between narrow and wide features | |
WO2000030168A1 (en) | Process for etching oxide using hexafluorobutadiene or related hydroflourocarbons and manifesting a wide process window | |
JP2006501634A (ja) | 基板をエッチングするための方法及び装置 | |
KR20030087637A (ko) | 유기계 절연막의 에칭 방법 및 이중 상감 방법 | |
JP4852213B2 (ja) | 高選択性のsacのエッチングの方法 | |
KR101075045B1 (ko) | 플라즈마 에칭 성능 강화를 위한 방법 | |
JP4451934B2 (ja) | 導電層をエッチングする方法及び集積回路 | |
JP4008352B2 (ja) | 絶縁膜のエッチング方法 | |
US6787475B2 (en) | Flash step preparatory to dielectric etch | |
JPH10150019A (ja) | フォトレジスト選択性を向上し重合体密着性を改善するためのプラズマ反応処理法 | |
KR20020010592A (ko) | 크세논의 첨가로 인한 규소 산화물 에칭 속도와 기판선택비의 개선 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080305 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20110128 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110203 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20111020 |