JP4008352B2 - 絶縁膜のエッチング方法 - Google Patents

絶縁膜のエッチング方法 Download PDF

Info

Publication number
JP4008352B2
JP4008352B2 JP2002551894A JP2002551894A JP4008352B2 JP 4008352 B2 JP4008352 B2 JP 4008352B2 JP 2002551894 A JP2002551894 A JP 2002551894A JP 2002551894 A JP2002551894 A JP 2002551894A JP 4008352 B2 JP4008352 B2 JP 4008352B2
Authority
JP
Japan
Prior art keywords
gas
etching
ratio
fluorocarbon
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002551894A
Other languages
English (en)
Other versions
JPWO2002050885A1 (ja
Inventor
憲治 足立
典之 小林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JPWO2002050885A1 publication Critical patent/JPWO2002050885A1/ja
Application granted granted Critical
Publication of JP4008352B2 publication Critical patent/JP4008352B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask

Description

技術分野
本発明は絶縁膜のエッチング方法に関し、特に、高アスペクト比のコンタクトホールのエッチングに適用して好適なものである。
背景従来
近年の半導体集積回路の高密度化に伴い、コンタクトホールのアスペクト比が高くなりつつあり、このようなコンタクトホールを形成するために、C/Ar/O系のエッチングガスが用いられていた。
しかしながら、C/Ar/O系のエッチングガスでは、エッチングレートが遅くスループットが悪いという問題に加え、レジストマスク選択比が低い、ボーイング(とっくり)形状が発生するという問題もあった。
発明の開示
本発明の目的は、エッチングレートおよびレジストマスク選択比を向上できるとともに、ボーイング形状を抑制することができる絶縁膜のエッチング方法を提供することである。
上述した課題を解決するために、本発明によれば、エッチングガスとして、C≧4、C/F比が0.625以上の第1のフルオロカーボン系ガスと、F≧4、C/F比が0.5以下の第2のフルオロカーボン系ガスと、Arガスと、Oガスとを少なくとも含む混合ガスを用いる絶縁膜のエッチング方法であって、前記第1のフルオロカーボン系ガスと、前記第2のフルオロカーボン系ガスとの流量比(第1のフルオロカーボン系ガス流量/第2のフルオロカーボン系ガス流量)が0.50以上であり、かつ、前記混合ガス全体のC/F比が0.52以上であることを特徴とする。
Cが多く、C/F比が大きな第1のフルオロカーボン系ガスと、Fが多く、C/F比が小さな第2のフルオロカーボン系ガスとを混合して用いることにより、第1のフルオロカーボン系ガスによりレジストマスク選択比を稼ぐことが可能となるとともに、第2のフルオロカーボン系ガスにより、ボーイング形状の発生を抑制し、かつ、エッチングレートを稼ぐことが可能となり、エッチングレートおよびレジストマスク選択比の双方を向上させることができる。
また、本発明によれば、第1のフルオロカーボン系ガスは、Cが4以上、C/F比が0.625以上のCガス或いはCガスであることを特徴とする。
また、本発明によれば、前記第2のフルオロカーボン系ガスは、CFガス、Cガス、Cガス、Cガスから選択されるいずれか1つであることを特徴とする。
これにより、ボーイング形状の発生を抑制しつつ、エッチングレートを向上させることが可能となり、高アスペクト比のコンタクトホールの形成を効率よく行うことが可能となる。
ここで、第2のフルオロカーボン系ガスのC/F比をより大きくすることにより(すなわち、CF→C→C→Cとすることにより)、エッチング種(主に、CFラジカル)を効率よく生成することが可能となり、エッチングレートをより改善することが可能となる。
また、本発明によれば、前記混合ガスはハイドロフルオロカーボン系ガスをさらに含むことを特徴とする。ここで、前記ハイドロフルオロカーボン系ガスは、CHガスであることが好ましい。
これにより、ボーイング形状やエッチングレートにほとんど影響を及ぼすことなく、レジストマスク選択比をさらに向上させることが可能となる。
また、本発明によれば、前記絶縁膜がシリコン酸化膜であることを特徴とする。さらに、本発明によれば、前記シリコン酸化膜のエッチングにおいて上層或いは下層にシリコン窒化膜が露出することを特徴とする。また、かかるシリコン酸化膜のエッチングが、セルフアラインコンタクトの形成工程において行われることを特徴とする。
また、本発明によれば、エッチングガスとして、C≧4、C/F比が0.625以上の第1のフルオロカーボン系ガスと、F≧4、C/F比が0.5以下の第2のフルオロカーボン系ガスと、Arガスと、Oガスとを少なくとも含む混合ガスを用いる絶縁膜のエッチング方法であって、前記絶縁膜が形成された基板の温度を、80〜120℃とすることを特徴とする。
基板温度を上記温度範囲とすることによって、エッチングレート、レジストマスク選択比、ボーイングレシオ、ボトム径レシオ、シリコン窒化膜選択比を、良好な値に保つことができる。
発明を実施するための最良の形態
以下、本発明の実施形態に係わるエッチング方法について図面を参照しながら説明する。
図1は、本発明の一実施形態に係わるエッチング装置の概略構成を示す断面図である。この実施形態では、第1のフルオロカーボン系ガスを、直鎖の分子構造を持つCとし、第2のフルオロカーボン系ガスを、CFとし、C/CF/Ar/O系混合ガスを用いてエッチングする場合を示す。
図1において、処理室1内には、上部電極2およびサセプタ3が設けられている。このサセプタ3は下部電極を兼ねている。また上部電極2には、エッチングガスを処理室1内に導入するガス噴出孔2aが設けられている。
上記サセプタ3は、サセプタ支持台4上に支持され、サセプタ支持台4は絶縁板5を介して処理室1内に保持されている。上部電極2およびサセプタ3には高周波電源13、11がそれぞれ接続され、処理室1内に導入されたエッチングガスをプラズマ化する。
サセプタ支持台4には冷媒室10が設けられ、液体窒素などの冷媒が冷媒供給管10aおよび冷媒排出管10bを介して冷媒室10内を循環する。そして、ここから生じる冷熱をサセプタ支持台4およびサセプタ3を介してウエハWに伝熱させることにより、ウエハWを冷却することができる。
サセプタ3上には静電チャック6が設けられている。静電チャック6は、導電層7がポリイミドフィルム8a、8bにより挟まれた構成を有する。導電層7には直流高圧電源12が接続され、導電層7に直流高電圧を与えることにより、ウエハWにクーロン力を作用させて、サセプタ3上にウエハWを固定することができる。
また、サセプタ3および静電チャック6には、Heガスを導入するガス通路9が設けられている。このガス通路9を介してHeガスをウエハWの裏面側に供給することにより、サセプタ3上に載置されたウエハWを冷却することができる。ガス通路9は、開閉バルブ18aおよび流量調整バルブ18bを介してHeガス供給源18に接続され、ウエハWの裏面でのHeガスの圧力を制御することができる。
処理室1には、ガス供給管1aおよび排気管1bが接続されている。ガス供給管1aは、開閉バルブ14a〜17aおよび流量調整バルブ14b〜17bを介し、Cガス供給源14、CFガス供給源15、Arガス供給源16およびOガス供給源17に接続されている。
排気管1bは真空ポンプに接続され、この真空ポンプで処理室1内を排気することにより、処理室1の圧力を調節することができる。
絶縁膜のエッチングを行う場合、絶縁膜が形成されたウエハWをサセプタ3上に載置し、静電チャック6により固定する。
次に、処理室1を排気し、処理室1内の圧力を調節しつつ、開閉バルブ14a〜17aを開いて、Cガス、CFガス、ArガスおよびOガスを処理室1内に導入する。
ガス、CFガス、ArガスおよびOガスの流量比は、流量調整バルブ14b〜17bによって調節することができる。Cガスと、CFガスとの流量比(Cガス流量/CFガス流量)は、レジストマスク選択比を確保するために、0.5以上であることが好ましい。
次に、高周波電源13からのRFパワー(60MHz)を上部電極2に印加するとともに、高周波電源11からのRFパワー(2MHz)をサセプタ3に印加することにより、エッチングガスをプラズマ化して、絶縁膜のエッチングを行う。この際、ウエハWを効率良く冷却するために、開閉バルブ18aを開き、Heガスを、ガス通路9を通じてウエハWの裏面側に供給する。ウエハWの冷却温度は、流量調整バルブ18bを用いてHeガスの圧力を調節することにより、制御することができる。エッチング条件は、上部電極2およびサセプタ3のRFパワーが140〜2100W程度、処理室1内の圧力が1.33〜9.31Pa(10〜70mTorr)程度、サセプタ3の温度が−20〜20℃程度、ウエハWの温度が80〜120℃程度であることが好ましい。
分子中のC(炭素原子)の数が多い、Cガスは、CF系ラジカル(CF、CF 、CF )などの多量のエッチング種を供給しつつ、炭素系ポリマーの堆積を促進して、エッチングレートを向上させつつレジスト選択比を向上させることが可能だが、ボーイング形状を発生させ易い。
ガスが、ボーイングを発生させ易い理由は、炭素系ポリマーが、コンタクトホールの入口付近に多量に堆積するため、その堆積部の下側には逆に堆積が起こり難くなり、この部分でコンタクトホール側壁部のエッチングが進むためである。
そこで、ボーイング形状を発生させ易いCガスに、分子中のF(フッ素原子)の数が多く、かつ、C/F比が小さいCFを加えることにより、コンタクトホール入口での炭素系ポリマーの堆積を抑制し、最終的にボーイング形状の発生を抑制することが可能となる。
炭素系ポリマーが堆積されると、レジスト選択比が向上する理由は、酸化膜のエッチング面では、酸化膜に含まれる酸素がスパッタアウトされて炭素系ポリマーの分解に寄与するのに対し、レジスト表面では、イオン衝撃等によっても炭素系ポリマーが容易には除去されないからである。さらに、CFガスが、炭素系ポリマーの堆積を抑制しつつエッチングを促進するので、エッチングレートを向上させることが可能となる。特に、CガスにCFガスを混合することにより、多量のエッチング種を供給しつつ、炭素系ポリマーの堆積を抑制することが可能となる。これによって、アスペクト比が10以上のコンタクトホールを形成する場合においても、ホールの抜け性の悪化を抑制して、10以上の高アスペクト比のコンタクトホールを効率よく形成することが可能となる。
なお、絶縁膜は、例えば、SiO膜のほか、PSG膜、BSG膜、BPSG膜、AsSG膜、AsPSG膜、AsBSG膜などでもよい。また、上述した実施形態では、C/CF/Ar/O系ガスを用いた場合について説明したが、直鎖の分子構造を持つCガスの代わりに、環状の分子構造を持つC、Cガスを用いてもよい。また、CFガスの代わりに、Cガス、Cガス、またはCガスを用いるようにしてもよい。
また、上述した実施形態では、種類の異なる2系統のフルオロカーボンガスをAr/O系ガスと混合する方法について説明したが、種類の異なる3系統以上のフルオロカーボンガスを用いるようにしてもよい。また、CHガス、CHFガスなどの分子構造中に水素を有するハイドロフルオロカーボン系ガスをさらに添加してもよい。CHガスなどを添加すれば、CHガスに含まれる水素でフッ素を捕捉させることにより、エッチングガスのC/F比を増加させて、レジスト選択比をさらに向上させることが可能となる。
さらに、上述した実施形態では、上部電極と下部電極の双方に高周波電圧を印加するタイプのRIE装置を用いてエッチングを行う方法について説明したが、マグネトロンRIE装置、ECR(電子サイクロトロン共鳴)プラズマエッチング装置、HEP(ヘリコン波励起プラズマ)エッチング装置、ICP(誘導結合プラズマ)エッチング装置、TCP(転送結合プラズマ)エッチング装置などに適用するようにしてもよい。以下、本発明の実施例について実験データを参照しつつ説明する。
図2(a)は、本発明の一実施例に係わるエッチング試料の構成を示す断面図である。図2(a)において、シリコン基板21上には、酸化珪素膜22(熱酸化膜)が積層され、酸化珪素膜22上には、開口部24の形成されたフォトレジスト膜23が積層されている。ここで、酸化珪素膜22の膜厚Thは2μm、フォトレジスト膜23の膜厚Trは600nm、開口部24の径HΦは0.15μmとした。この図2(a)のサンプルを用い、図1のエッチング装置を用いてエッチングETを行った。
図2(b)は、エッチング後のボーイング形状を示す断面図である。図2(b)において、図2(a)のサンプルのエッチングETを行うと、ボーイング形状を有するコンタクトホールが酸化珪素膜22内に形成される。
ボーイングの程度を表すボーイングレシオは、ボーイング径Gc/トップ径Tcで定義される。このボーイングレシオは、1が最も好ましく、好ましい範囲は0.95〜1.05(±5%以内)である。なお、ボーイング径Gcは、コンタクトホール25の途中で最も膨らんでいる部分の径、トップ径Tcは、コンタクトホール25の最上部の径である。
また、ボトム径Bcは、コンタクトホール25の底の径である。ボトム径Bc/トップ径Tcで定義されるボトム径レシオは、1が最も好ましい。しかし、小さい径で深いホールの場合、ボトム径レシオは小さくなる。一般的にトップ径が0.15μm程度でホールの深さ2〜3μmの場合、30%オーバーエッチングして、ボトム径レシオは70%程度である。
本実施例でのレジストマスク選択比は、酸化珪素膜22のエッチングレートを、平坦部のフォトレジスト膜23のエッチングレートで割った値である。レジストマスク選択比は、大きいほどよく、好ましくは5.0以上である。
本発明の一実施例に係わるエッチング結果を従来例と比較するため、従来例によるエッチングを行った。従来例のエッチング条件としてC/Ar/O系混合ガスを流量比15/380/19sccmで用いた。また、上部電極2のRFパワーを2170W、下部電極3のRFパワーを1550W、圧力を2.00Pa(15mTorr)、ウエハW裏面におけるHe圧力をセンターで2000Pa(15Torr)、エッジで3330Pa(25Torr)、トップ温度を60℃、ウォール温度を50℃、ボトム温度を20℃に設定した。エッチング時間はエッチングレート及びレジスト選択比を求める場合は、30%アンダーエッチングの条件とし、断面形状を評価する場合は30%オーバーエッチングに相当する4分48秒間とした。電極間間隔は25mmである。
この場合、ウエハWのセンター、ミドル、エッジにおいて、エッチングレートがそれぞれ560、558、504nm/min、ファセット面でのレジストマスク選択比がそれぞれ4.9、5.4、5.0、ボーイングレシオがそれぞれ1.02、1.06、1.03、ボトム径Bcがそれぞれ107、108、95nm、ボトム径レシオがそれぞれ71.3、72.0、63.3%であり、ボーイング形状を有する断面形状が得られた。
一方、この実施例のエッチング条件としては、第1のフルオロカーボン系ガスをCとし、第2のフルオロカーボン系ガスをCFとしたC/CF/Ar/O系混合ガスを、流量比25/10/500/26sccmで用いた。また、上部電極2のRFパワーを1800W、下部電極3のRFパワーを1800W、圧力を2.66Pa(20mTorr)、ウエハW裏面におけるHe圧力をセンターで665Pa(5Torr)、エッジで3330Pa(25Torr)、トップ温度を60℃、ウォール温度を50℃、ボトム温度を−10℃に設定した。エッチング時間は、エッチングレート及び及びレジスト選択比を求める場合は、30%アンダーエッチングの条件とし、断面形状を評価する場合は30%オーバーエッチングに相当する4分24秒間とした。
この場合、ウエハWのセンター、ミドル、エッジにおいて、エッチングレートがそれぞれ588、606、622nm/min、ファセット面でのレジストマスク選択比がそれぞれ5.7、5.3、5.5、ボーイングレシオがそれぞれ1.00、1.00、1.00、ボトム径Bcがそれぞれ99、93、109nm、ボトム径レシオがそれぞれ66.0%、62.0%、72.7%であり、ボーイング形状のない断面形状が得られた。
このように、C/Ar/O系混合ガスに換えて、C/CF/Ar/O系混合ガスを用いてエッチングを行うことにより、ボーイングレシオを±5%以内に抑えることが可能となるとともに、エッチングレートを約1.12倍、レジスト選択比を約1.08倍に向上させることができた。
また、C/CF/Ar/O系混合ガスに換えて、第2のフルオロカーボン系ガスをCとしたC/C/Ar/O系混合ガスを用いたエッチングを行った。断面形状を評価する際の30%オーバーエッチングのエッチング時間は4分32秒、その他のエッチング条件は、前述した実施例と同一である。
この場合、ウエハWのセンター、ミドル、エッジにおいて、エッチングレートがそれぞれ608、636、686nm/min、ファセット面でのレジストマスク選択比がそれぞれ6.2、5.9、6.0、ボーイングレシオがそれぞれ0.98、0.99、1.00、ボトム径Bcがそれぞれ105、99、99nm、ボトム径レシオがそれぞれ70.0%、66.0%、66.0%であった。
このように、C/CF/Ar/O系混合ガスに換えて、C/C/Ar/O系混合ガスを用いてエッチングを行うことによっても、ボーイングレシオを±5%以内に抑えつつ、エッチングレートを約1.19倍、レジスト選択比を1.18倍に向上させることができた。
また、C/CF/Ar/O系混合ガスに換えて、第1のフルオロカーボン系ガスをCとしたC/CF/Ar/O系混合ガスを用いたエッチングを行った。サンプルは、下地がシリコンで、その上に3μm厚のBPSG膜を形成したものである。また、エッチングによって形成したホールの直径は、0.25μmである。
エッチング条件は、流量比25/15/500/25sccm、上部電極2のRFパワー1750W、下部電極3のRFパワー1800W、圧力2.66Pa(20mTorr)、ウエハW裏面におけるHe圧力はセンターで665Pa(5Torr)、エッジで3330Pa(25Torr)、トップ温度20℃、ウォール温度60℃、ボトム温度50℃、エッチング時間は30%オーバーエッチングに相当する時間である。
この場合、ウエハWのセンター、ミドル、エッジにおける平均のエッチングレートが680.5nm/minであった。
また、ウエハWのセンター、ミドル、エッジにおいて、ファセット面でのレジストマスクの残膜量がそれぞれ184、158、86nm(初期膜厚約800nm)、ボーイングレシオがそれぞれ1.00、1.00、1.00、ボトム径レシオがそれぞれ0.59、0.59、0.59であった。
一方、比較例として、上記のガス系からCFを除き、他の条件は上記の場合と同じでC/Ar/O系混合ガスを用いたエッチングを行った。この結果、平均のエッチングレートが561.1nm/min、ウエハWのセンター、ミドル、エッジにおいて、ファセット面でのレジストマスクの残膜量がそれぞれ91、112、33nm(初期膜厚約800nm)、ボーイングレシオがそれぞれ1.15、1.10、1.05、ボトム径レシオがそれぞれ0.77、0.67、0.62であった。
このように、第1のフルオロカーボン系ガスとしてCを用い、C/CF/Ar/O系混合ガスを用いた場合、ボトム径レシオが若干悪化するものの、ボーイングレシオが1.00と良好で、比較例に比べて、エッチングレートを約1.20倍に向上させることができた。また、比較例に比べて、レジストマスクの残膜量が多いことから、レジストマスク選択比も向上していることが分かる。
図3は、本発明の一実施例に係わるフルオロカーボンの種類および流量比をパラメータとした場合のエッチング特性を示す図である。処理条件は、先の実施例と同様であり、オーバーエッチングは30%である。図3において、C/F比の大きい第1のフルオロカーボン系ガスはC、C/F比の小さい第2のフルオロカーボン系ガスはCで示され、曲線A1、A2はC=CF、曲線B1、B2はC=C、曲線C1、C2はC=C、曲線D1、D2はC=Cの場合を示す。
図3において、総ガス流量が同じで(35sccm)、Cガスに対するCガスの流量比(Cガス流量/Cガス流量)が大きくなると、レジストマスク選択比は向上し、エッチングレートも増大する。これは、ガス全体としてのC/F比の増加によるエッチング種の増加及び炭素系ポリマーの堆積がエッチングレート向上及びレジストマスク選択比向上に作用するためと考えられる。
一方、Cガスの流量が同じ(25sccm)でCガスの流量が増えると、エッチングレートは増大するが、レジストマスク選択比は低下する。これは、Cガスの流量が増えると、ガス全体としてのC/F比が低下することにより、炭素系ポリマーの堆積が減少するためと考えられる。このため、レジストマスク選択比の観点からは、Cガスに対するCガスの流量比(Cガス流量/Cガス流量)は、0.5以上であることが好ましく、1以上がさらに好ましい。また、エッチングレートの観点からは、Cガスの流量は20sccm以上であることが好ましい。
図4は、本発明の一実施例に係わるエッチングガス全体のC/F比計算結果を示す図である。
図4において、Cガスに対するCガスの流量比が1以上の場合、エッチングガス全体のC/F比が0.5以上となることが分かる。図3において、C/F比が0.5以上の場合をまる印で示している。図3に示されるとおり、レジストマスク選択比を向上させるためには、2種類のフルオロカーボン系ガスが混合されたエッチングガス全体のC/F比を0.5以上とすることが好ましい。
また、CをCF→C→C→Cと換えることにより、レジストマスク選択比をほぼ一定に保ったまま、エッチングレートを向上させることができる。これは、C中のCの数(x)の増加によりエッチング種が増加するC中のC/F比(x/y)が0.5以下に保たれているので、炭素系ポリマーの堆積の影響が小さいからであると考えられる。このため、エッチングレートの観点からは、CにおけるCの数(x)は大きいことが好ましい。
なお、C=Cの場合、Cの数(x)が大きいため、炭素系ポリマーの堆積が促進される。このため、アスペクト比が10以上のコンタクトホールをSiO膜に形成すると、ホールの抜け性が悪くなる。ただし、PSG膜、BSG膜、BPSG膜、AsSG膜、AsPSG膜、AsBSG膜などの低融点膜に対しては、ホールの抜け性を維持することが可能となるため、C=Cの混合ガスは、これらの低融点膜のエッチングに特に適している。
図5〜7は、それぞれC/F比の小さい第2のフルオロカーボン系ガスの流量(添加量)を変化させた場合の、エッチングレート、平坦部におけるレジストマスク選択比、ボーイングレシオ、ボトム径レシオの変化を調べた結果を示すものである。図5はCFの場合、図6はCの場合、図7はCの場合である。また、これらの図において、図5(a)、図6(a)、図7(a)は、エッチングレートと、平坦部におけるレジストマスク選択比の変化を示し、図5(b)、図6(b)、図7(b)は、ボーイングレシオ、ボトム径レシオの変化を示している。エッチング条件は、C/C/Ar/Oの流量比35/0〜35/700/36sccm、上部電極2のRFパワー2200W、下部電極3のRFパワー1800W、圧力2.66Pa(20mTorr)、ウエハW裏面におけるHe圧力はセンターで665Pa(5Torr)、エッジで3330Pa(25Torr)、トップ温度60℃、ウォール温度50℃、ボトム温度−10℃である。
エッチング時間は、エッチングレート及びレジスト選択比を求める場合は、30%アンダーエッチングの条件とし、断面形状を評価する場合は30%オーバーエッチングに相当する時間とした。
これらの図に示されるとおり、CF、C、Cを添加することによって、エッチングレートが向上し、ボーイングレシオも改善され、ボトム径レシオも改善される。一方、レジストマスク選択比は、CF、C、Cを添加することによって、一旦上昇するが、添加量を増加させると次第に低下する傾向がある。このため、CF、C、Cの添加量は、図中に縦の実線を付したC/F比=0.52以上となる範囲(実線より左側)とすることが好ましい。
ところで、所謂セルフアライン技術によりコンタクトホール(セルフアラインコンタクト)を形成するエッチング工程では、図8に示すように、レジストマスク31を介して、シリコン酸化膜32等をエッチングし、シリコン基板33に至るコンタクトホール34を形成する際に、下層に形成されたゲート電極35の周囲に形成されたシリコン窒化膜(SiN膜)36が露出する場合がある。
上記のように、シリコン窒化膜が露出する工程においては、コンタクトホールを形成するエッチングにおいて、シリコン窒化膜に対するシリコン酸化膜の選択比(シリコン窒化膜選択比)を高くする必要がある。図9は、CFの添加量の違いによる、シリコン酸化膜(BPSG膜)のエッチングレート、レジストマスク選択比(ファセット部)、シリコン窒化膜選択比(SiN選択比)の変化を測定した結果を示すものである。
エッチング条件は、C/CF/Ar/Oの流量比16/0〜10/800/16sccm、上部電極2のRFパワー1530W、下部電極3のRFパワー1350W、圧力3.99Pa(30mTorr)、ウエハW裏面におけるHe圧力はセンターで665Pa(5Torr)、エッジで1330Pa(10Torr)、トップ温度40℃、ウォール温度60℃、ボトム温度50℃である。また、エッチング時間は、エッチングレート、レジストマスク選択比の測定の場合が90秒、シリコン窒化膜選択比の測定の場合が100%オーバーエッチングに相当する時間である。なお、シリコン酸化膜の厚さは1400nm、コンタクトホール径は400nmである。
同図に示すように、CFを添加することによって、エッチングレート及びSiN選択比が向上する。但し、CFの添加量が増えると、レジストマスク選択比が低下する傾向がある。したがって、同図に示す例では、CFの添加量が10sccm程度以下、C/F比で示せば、図中実線を付したC/F比=0.54以上(実線より左側)とすることが好ましい。
なお、シリコン窒化膜がシリコン酸化膜の上に形成された構造においてシリコン酸化膜をエッチングする場合であっても、上記の場合と同様の効果が得られる。
図10は、シリコン酸化膜(P−SiO膜)のエッチングレート、レジストマスク選択比(ファセット部)、ボーイングレシオ(ボーイングCDレシオ)、ボトム径レシオ(ボトム径CDレシオ)、シリコン窒化膜選択比の温度依存性を測定した結果を示すものである。
エッチング条件は、C/CF/Ar/O糸混合ガスを、流量比24/9/700/30sccm(ボトム温度=−20,0℃(ウエハ温度=80,100℃)の場合)、30/11/850/36sccm(ボトム温度=0,20℃(ウエハ温度=100,120℃)の場合)とした。
他のエッチング条件は、上部電極2のRFパワーを1800W、下部電極3のRFパワーを2100W、圧力を2.66Pa(20mTorr)〜3.33Pa(25mTorr)、ウエハW裏面におけるHe圧力をセンターで2000Pa(15Torr)、エッジで4660Pa(35Torr)、トップ温度を60℃、ウォール温度50℃、ボトム温度−20〜20℃(ウエハ温度が80〜120℃)に設定した。エッチング時間は、シリコン酸化膜のエッチングレートとレジストマスク選択比を求める場合は30%のアンダーエッチングとし、それ以外は20%オーバーエッチングに相当する時間とした。
同図に示されるとおり、エッチングレート、レジストマスク選択比、ボーイングレシオ、ボトム径レシオ、シリコン窒化膜選択比は、それぞれ温度依存性があることが分かる。
エッチングレートおよびレジストマスク選択比は、ウエハ温度が低い方が大きいが、シリコン窒化膜選択比およびボーイングレシオ、ボトム径レシオは、ウエハ温度が高い方が好ましい。つまりこれらはトレードオフの関係にあることが分かる。ウエハ温度が140℃(ボトム温度40℃)を越えるとレジストが軟化、変質するのでマスクとしての形状が保たれない。したがって、ウエハ温度は、80〜120℃とすることが好ましい。
以上説明したように、本発明によれば、2種類以上のフルオロカーボン系ガスを混合させてエッチングを行うことにより、ボーイングの発生を抑制することが可能となるとともに、エッチングレートおよびレジストマスク選択比を向上させることができる。また、シリコン窒化膜が露出している場合、シリコン窒化膜選択比を向上させることができる。
産業上の利用可能性
本発明に係る絶縁膜のエッチング方法は、半導体装置の製造を行う半導体製造産業等において使用することが可能である。したがって、産業上の利用可能性を有する。
【図面の簡単な説明】
図1は、本発明の一実施例に係わるエッチング装置の概略構成を示す断面図である。
図2は、本発明の一実施例に係わるエッチング試料の構成を示す断面図であり、図2(a)はエッチング前、図2(b)はエッチング後を示す。
図3は、本発明の一実施例に係わるフルオロカーボンの種類および流量比をパラメータとした場合のエッチング特性を示す図である。
図4は、本発明の一実施例に係わるエッチングガス全体のC/F比計算結果を示す図である。
図5は、本発明の一実施例に係わるCF添加量とエッチング特性の関係を示す図であり、図5(a)はエッチングレート、レジストマスク選択比を示し、図5(b)はボーイングレシオ、ボトム径レシオを示す。図6は、本発明の一実施例に係わるC加量とエッチング特性の関係を示す図であり、図6(a)はエッチングレート、レジストマスク選択比を示し、図6(b)はボーイングレシオ、ボトム径レシオを示す。図7は、本発明の一実施例に係わるC加量とエッチング特性の関係を示す図であり、図7(a)はエッチングレート、レジストマスク選択比を示し、図7(b)はボーイングレシオ、ボトム径レシオを示す。図8は、本発明の一実施例に係わるエッチング試料の構成を示す断面図である。
図9は、本発明の一実施例に係わるCF添加量とエッチング特性の関係を示す図である。
図10は、本発明の一実施例に係わるエッチング特性の温度依存性を示す図である。

Claims (9)

  1. エッチングガスとして、C≧4、C/F比が0.625以上の第1のフルオロカーボン系ガスと、F≧4、C/F比が0.5以下の第2のフルオロカーボン系ガスと、Arガスと、O2 ガスとを少なくとも含む混合ガスを用いる絶縁膜のエッチング方法であって、
    前記第1のフルオロカーボン系ガスと、前記第2のフルオロカーボン系ガスとの流量比(第1のフルオロカーボン系ガス流量/第2のフルオロカーボン系ガス流量)が0.50以上であり、かつ、前記混合ガス全体のC/F比が0.52以上であることを特徴とする。
  2. 請求項1記載の絶縁膜のエッチング方法において、
    前記第1のフルオロカーボン系ガスは、C58 ガス或いはC46 ガスであることを特徴とする。
  3. 請求項2記載の絶縁膜のエッチング方法において、
    前記第2のフルオロカーボン系ガスは、CF4 ガス、C26 ガス、C38 ガス、C48 ガスからから選択されたいずれか1つであることを特徴とする。
  4. 請求項1項記載の絶縁膜のエッチング方法において、
    前記混合ガスはハイドロフルオロカーボン系ガスをさらに含むことを特徴とする。
  5. 請求項記載の絶縁膜のエッチング方法において、
    前記ハイドロフルオロカーボン系ガスは、CH22 ガスであることを特徴とする。
  6. 請求項1記載の絶縁膜のエッチング方法において、
    前記絶縁膜がシリコン酸化膜であることを特徴とする。
  7. 請求項記載の絶縁膜のエッチング方法において、
    前記シリコン酸化膜の上層或いは下層にシリコン窒化膜が露出することを特徴とする。
  8. 請求項記載の絶縁膜のエッチング方法において、
    前記シリコン酸化膜のエッチングが、セルフアラインコンタクトの形成工程において行われることを特徴とする。
  9. エッチングガスとして、C≧4、C/F比が0.625以上の第1のフルオロカーボン系ガスと、F≧4、C/F比が0.5以下の第2のフルオロカーボン系ガスと、Arガスと、O2 ガスとを少なくとも含む混合ガスを用いる絶縁膜のエッチング方法であって、前記絶縁膜が形成された基板の温度を、80〜120℃とすることを特徴とする絶縁膜のエッチング方法。
JP2002551894A 2000-12-21 2001-12-13 絶縁膜のエッチング方法 Expired - Fee Related JP4008352B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000389151 2000-12-21
JP2000389151 2000-12-21
PCT/JP2001/010932 WO2002050885A1 (fr) 2000-12-21 2001-12-13 Procede de gravage pour film isolant

Publications (2)

Publication Number Publication Date
JPWO2002050885A1 JPWO2002050885A1 (ja) 2004-04-22
JP4008352B2 true JP4008352B2 (ja) 2007-11-14

Family

ID=18855762

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002551894A Expired - Fee Related JP4008352B2 (ja) 2000-12-21 2001-12-13 絶縁膜のエッチング方法

Country Status (7)

Country Link
US (1) US20040035826A1 (ja)
JP (1) JP4008352B2 (ja)
KR (1) KR100782632B1 (ja)
CN (1) CN1249788C (ja)
AU (1) AU2002222631A1 (ja)
TW (1) TW521335B (ja)
WO (1) WO2002050885A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4164643B2 (ja) * 2002-07-17 2008-10-15 日本ゼオン株式会社 ドライエッチング方法及びパーフルオロ−2−ペンチンの製造方法
JP4963156B2 (ja) * 2003-10-03 2012-06-27 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4723871B2 (ja) * 2004-06-23 2011-07-13 株式会社日立ハイテクノロジーズ ドライエッチング装置
US7794616B2 (en) * 2004-08-09 2010-09-14 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
KR100650835B1 (ko) * 2004-10-29 2006-11-27 에스티마이크로일렉트로닉스 엔.브이. 반도체 소자의 소자분리막 형성방법
US7416676B2 (en) * 2005-02-16 2008-08-26 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
JP2007242753A (ja) * 2006-03-07 2007-09-20 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US7517804B2 (en) 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
JP5214152B2 (ja) * 2007-02-08 2013-06-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP4450245B2 (ja) * 2007-06-07 2010-04-14 株式会社デンソー 半導体装置の製造方法
JP4978512B2 (ja) * 2008-02-29 2012-07-18 日本ゼオン株式会社 プラズマエッチング方法
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
CN103578973B (zh) * 2012-07-29 2017-09-05 中国科学院微电子研究所 氮化硅高深宽比孔的循环刻蚀方法
CN103903978B (zh) * 2012-12-27 2016-12-28 南亚科技股份有限公司 蚀刻方法
CN106297831B (zh) * 2015-05-21 2020-04-21 新科实业有限公司 在衬底形成图案的方法
JP6836959B2 (ja) 2017-05-16 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置、処理システム、及び、多孔質膜をエッチングする方法
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
JP3154128B2 (ja) * 1991-05-24 2001-04-09 ソニー株式会社 ドライエッチング方法
JP3116569B2 (ja) * 1992-06-29 2000-12-11 ソニー株式会社 ドライエッチング方法
KR100246029B1 (ko) * 1997-10-20 2000-03-02 구자홍 간헐 영상 녹화재생장치
TW394989B (en) * 1997-10-29 2000-06-21 Matsushita Electronics Corp Semiconductor device manufacturing and reaction room environment control method for dry etching device
JP3003657B2 (ja) * 1997-12-24 2000-01-31 日本電気株式会社 半導体装置の製造方法
US6159862A (en) * 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
JPH11330046A (ja) * 1998-05-08 1999-11-30 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
TW511335B (en) * 1998-06-09 2002-11-21 Mitsubishi Electric Corp Integrated circuit
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
JP4776747B2 (ja) * 1998-11-12 2011-09-21 株式会社ハイニックスセミコンダクター 半導体素子のコンタクト形成方法
JP4230029B2 (ja) * 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
US6417090B1 (en) * 1999-01-04 2002-07-09 Advanced Micro Devices, Inc. Damascene arrangement for metal interconnection using low k dielectric constant materials for etch stop layer
JP2000252259A (ja) * 1999-02-25 2000-09-14 Sony Corp ドライエッチング方法及び半導体装置の製造方法
US6184107B1 (en) * 1999-03-17 2001-02-06 International Business Machines Corp. Capacitor trench-top dielectric for self-aligned device isolation
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
JP4578651B2 (ja) * 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
JP2001135630A (ja) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp 半導体装置の製造方法
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6337244B1 (en) * 2000-03-01 2002-01-08 Micron Technology, Inc. Method of forming flash memory
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6337285B1 (en) * 2000-03-21 2002-01-08 Micron Technology, Inc. Self-aligned contact (SAC) etch with dual-chemistry process
JP4839506B2 (ja) * 2000-04-28 2011-12-21 ダイキン工業株式会社 ドライエッチング方法
KR100362834B1 (ko) * 2000-05-02 2002-11-29 삼성전자 주식회사 반도체 장치의 산화막 형성 방법 및 이에 의하여 제조된 반도체 장치
EP1281193A2 (en) * 2000-05-12 2003-02-05 Tokyo Electron Limited Method of high selectivity sac etching
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
KR100363710B1 (ko) * 2000-08-23 2002-12-05 삼성전자 주식회사 셀프-얼라인 콘택 구조를 갖는 반도체 장치 및 그 제조방법
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
KR100874813B1 (ko) * 2000-11-08 2008-12-19 다이킨 고교 가부시키가이샤 드라이 에칭 가스 및 드라이 에칭 방법
JP4213871B2 (ja) * 2001-02-01 2009-01-21 株式会社日立製作所 半導体装置の製造方法
TW483111B (en) * 2001-06-08 2002-04-11 Promos Technologies Inc Method for forming contact of memory device
US6674241B2 (en) * 2001-07-24 2004-01-06 Tokyo Electron Limited Plasma processing apparatus and method of controlling chemistry
US6518164B1 (en) * 2001-11-30 2003-02-11 United Microelectronics Corp. Etching process for forming the trench with high aspect ratio

Also Published As

Publication number Publication date
CN1483219A (zh) 2004-03-17
TW521335B (en) 2003-02-21
KR100782632B1 (ko) 2007-12-06
KR20030066747A (ko) 2003-08-09
CN1249788C (zh) 2006-04-05
US20040035826A1 (en) 2004-02-26
JPWO2002050885A1 (ja) 2004-04-22
AU2002222631A1 (en) 2002-07-01
WO2002050885A1 (fr) 2002-06-27

Similar Documents

Publication Publication Date Title
US6617257B2 (en) Method of plasma etching organic antireflective coating
JP4008352B2 (ja) 絶縁膜のエッチング方法
US7547635B2 (en) Process for etching dielectric films with improved resist and/or etch profile characteristics
US6602434B1 (en) Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6387287B1 (en) Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
US8609547B2 (en) Plasma etching method and computer-readable storage medium
US6184119B1 (en) Methods for reducing semiconductor contact resistance
US20130213572A1 (en) Plasma processing apparatus
JP2004512668A (ja) フルオロカーボンのエッチングガスを用いた磁気的に増強されたプラズマエッチング方法
WO1999016110A2 (en) Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
KR20030087637A (ko) 유기계 절연막의 에칭 방법 및 이중 상감 방법
JPH10256232A (ja) 半導体装置の製造方法
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
US20030000913A1 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
US20210050222A1 (en) Plasma etching method
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
US6897154B2 (en) Selective etching of low-k dielectrics
US6573181B1 (en) Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US5968278A (en) High aspect ratio contact
US20080014755A1 (en) Plasma etching method and computer-readable storage medium
JPWO2002049089A1 (ja) 多孔質絶縁膜のエッチング方法、デュアルダマシンプロセスおよび半導体装置
US6900104B1 (en) Method of forming offset spacer manufacturing for critical dimension precision
US20240112919A1 (en) Low-Temperature Etch
US20240096640A1 (en) High Aspect Ratio Contact (HARC) Etch

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070801

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070828

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070829

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100907

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4008352

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100907

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130907

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees