US20040035826A1 - Etching method for insulating film - Google Patents

Etching method for insulating film Download PDF

Info

Publication number
US20040035826A1
US20040035826A1 US10/451,107 US45110703A US2004035826A1 US 20040035826 A1 US20040035826 A1 US 20040035826A1 US 45110703 A US45110703 A US 45110703A US 2004035826 A1 US2004035826 A1 US 2004035826A1
Authority
US
United States
Prior art keywords
gas
ratio
etching
insulating film
fluorocarbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/451,107
Other languages
English (en)
Inventor
Kenji Adachi
Noriyuki Kobayashi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADACHI, KENJI, KOBAYASHI, NORIYUKI
Publication of US20040035826A1 publication Critical patent/US20040035826A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask

Definitions

  • the present invention relates to an insulating film etching method, and more particularly to that suitable for use in etching for a high-aspect-ratio contact hole.
  • an insulating film etching method in which a mixed gas containing at least a first fluorocarbon gas having C ⁇ 4 and a C/F ratio of 0.625 or higher, a second fluorocarbon gas having F ⁇ 4 and a C/F ratio of 0.5 or lower, an Ar gas, and an O 2 gas is used as an etching gas, the method characterized in that a ratio between flow rates of the first fluorocarbon gas and the second fluorocarbon gas (the flow rate of the first fluorocarbon gas/the flow rate of the second fluorocarbon gas) is 0.50 or higher, and a C/F ratio of the mixed gas as a whole is 0.52 or higher.
  • the first fluorocarbon gas can contribute to the increase in the resist mask selection ratio
  • the second fluorocarbon gas can contribute to the restraint of the occurrence of the bowing shape and the increase in the etching rate, and as a result, the etching rate and the resist mask selection ratio can be both increased.
  • the first fluorocarbon gas is a C 5 F 8 gas or a C 4 F 6 gas having C ⁇ 4 and a C/F ratio of 0.625 or higher.
  • the second fluorocarbon gas is one selected from a CF 4 gas, a C 2 F 6 gas, a C 3 F 8 gas, and a C 4 F 8 gas.
  • an etching species (mainly, a CF x radical) can be produced efficiently, which can further improve the etching rate.
  • the mixed gas further contains a hydrofluorocarbon gas.
  • the hydrofluorocarbon gas is preferably a CH 2 F 2 gas.
  • the insulating film is a silicon oxide film. Further, according to yet another aspect of the present invention, it is characterized in that, when the silicon oxide film is etched, a silicon nitride film is exposed to an upper layer or a lower layer. It is further characterized in that the silicon oxide film is thus etched in a self-alignment contact forming step.
  • an insulating film etching method in which a mixed gas containing at least a first fluorocarbon gas having C ⁇ 4 and a C/F ratio of 0.625 or higher, a second fluorocarbon gas having F ⁇ 4 and a C/F ratio of 0.5 or lower, an Ar gas, and an O 2 gas is used as an etching gas, the method characterized in that a temperature of a substrate on which the insulating film is formed is 80° C. to 120° C.
  • FIG. 1 is a sectional view showing the schematic configuration of an etching apparatus according to an embodiment of the present invention.
  • FIG. 2A and FIG. 2B are sectional views showing the structure of an etching sample according to an example of the present invention, FIG. 2A showing the state before etching and FIG. 2B showing the state after etching.
  • FIG. 3 is a chart showing etching characteristics according to an example of the present invention when the kind and the flow rate ratio of fluorocarbon are defined as parameters.
  • FIG. 4 is a table showing the calculation result of the C/F ratio of each etching gas as a whole according to an example of the present invention.
  • FIG. 5A and FIG. 5B are charts showing the correlation between an addition amount of CF 4 and etching characteristics according to an example of the present invention, FIG. 5A showing an etching rate and a resist mask selection ratio and FIG. 5B showing a bowing ratio and a bottom diameter ratio.
  • FIG. 6A and FIG. 6B are charts showing the correlation between an addition amount of C 2 F 6 and etching characteristics according to an example of the present invention, FIG. 6A showing an etching rate and a resist mask selection ratio and FIG. 6B showing a bowing ratio and a bottom diameter ratio.
  • FIG. 7A and FIG. 7B are charts showing the correlation between an addition amount of C 3 F 8 and etching characteristics according to an example of the present invention, FIG. 7A showing an etching rate and a resist mask selection ratio and FIG. 7B showing a bowing ratio and a bottom diameter ratio.
  • FIG. 8 is a sectional view showing the structure of an etching sample according to an example of the present invention.
  • FIG. 9 is a chart showing the correlation between an addition amount of CF 4 and etching characteristics according to an example of the present invention.
  • FIG. 10 is a chart showing temperature dependency of etching characteristics according to an example of the present invention.
  • FIG. 1 is a sectional view showing the schematic configuration of an etching apparatus according to an embodiment of the present invention. This embodiment shows a case of etching through the use of a C 4 F 6 /CF 4 /Ar/O 2 mixed gas in which a first fluorocarbon gas is C 4 F 6 having a straight-chain molecular structure and a second fluorocarbon gas is CF 4 .
  • an upper electrode 2 and a susceptor 3 are provided in a processing chamber 1 .
  • This susceptor 3 also serves as a lower electrode.
  • the upper electrode 2 has gas ejecting ports 2 a through which an etching gas is introduced into the processing chamber 1 .
  • the abovementioned susceptor 3 is supported on a susceptor supporting table 4 , and the susceptor supporting table 4 is held in the processing chamber 1 via an insulating board 5 .
  • Radio-frequency power supplies 13 , 11 are connected to the upper electrode 2 and the susceptor 3 respectively so that the etching gas introduced into the processing chamber 1 is plasmatized.
  • the susceptor supporting table 4 has a refrigerant chamber 10 provided therein, and a refrigerant such as liquid nitrogen circulates inside the refrigerant chamber 10 through a refrigerant supply pipe 10 a and a refrigerant discharge pipe 10 b . Then, a cooling energy generated therefrom is transmitted to a wafer W via the susceptor supporting table 4 and the susceptor 3 so that the wafer W can be cooled.
  • a refrigerant such as liquid nitrogen circulates inside the refrigerant chamber 10 through a refrigerant supply pipe 10 a and a refrigerant discharge pipe 10 b .
  • An electrostatic chuck 6 is provided on the susceptor 3 .
  • the electrostatic chuck 6 is so structured that a conductive layer 7 is sandwiched between polyimide films 8 a and 8 b .
  • a DC high-voltage power supply 12 is connected to the conductive layer 7 , and when a DC high voltage is applied to the conductive layer 7 , a Coulomb force acts on the wafer W so that the wafer W can be fixed on the susceptor 3 .
  • a gas passage 9 through which a He gas is introduced is provided in the susceptor 3 and the electrostatic chuck 6 .
  • the He gas is supplied to the rear face side of the wafer W via this gas passage 9 so that the wafer W mounted on the susceptor 3 can be cooled.
  • the gas passage 9 is connected to a He gas supply source 18 via an open/close valve 18 a and a flow control valve 18 b so that the pressure of the He gas on the rear face of the wafer W can be controlled.
  • a gas supply pipe 1 a and an exhaust pipe 1 b are connected to the processing chamber 1 .
  • the gas supply pipe 1 a is connected to a C 4 F 6 gas supply source 14 , a CF 4 gas supply source 15 , an Ar gas supply source 16 , and an O 2 gas supply source 17 via open/close valves 14 a to 17 a and flow control valves 14 b to 17 b.
  • the exhaust pipe 1 b is connected to a vacuum pump and the inside of the processing chamber 1 is exhausted with this vacuum pump so that the pressure of the processing chamber 1 can be adjusted.
  • the wafer W on which the insulating film is formed is mounted on the susceptor 3 and fixed by the electrostatic chuck 6 .
  • the open/close valves 14 a to 17 a are opened to introduce a C 4 F 6 gas, a CF 4 gas, an Ar gas, and an O 2 gas into the processing chamber 1 .
  • the ratio among the flow rates of the C 4 F 6 gas, the CF 4 gas, the Ar gas, and the O 2 gas is adjustable by the flow control valves 14 b to 17 b .
  • the ratio between the flow rates of the C 4 F 6 gas and the CF 4 gas (the flow rate of the C 4 F 6 gas/the flow rate of the CF 4 gas) is preferably 0.5 or higher in order to ensure a resist mask selection ratio.
  • an RF power (60 MHz) from the radio-frequency power supply 13 is applied to the upper electrode 2 and an RF power (2 MHz) from the radio-frequency power supply 11 is applied to the susceptor 3 , thereby plasmatizing the etching gas to etch the insulating film.
  • the open/close valve 18 a is opened to supply the He gas to the rear face side of the wafer W through the gas passage 9 .
  • the temperature for cooling the wafer W is controllable by the adjustment of the pressure of the He gas through the use of the flow control valve 18 b .
  • Preferable etching conditions are such that the RF powers to the upper electrode 2 and the susceptor 3 are about 140 W to about 2100 W, the inner pressure of the processing chamber 1 is about 1.33 Pa to about 9.31 Pa (about 10 mTorr to about 70 mTorr), the temperature of the susceptor 3 is about ⁇ 20° C. to about 20° C., and the temperature of the wafer W is about 80° C. to about 120° C.
  • the C 4 F 6 gas in which the number of C (carbon atoms) in a molecule is large promotes the deposition of carbon polymers while supplying a large amount of etching species such as a CF radical (CF*, CF 2 *, and CF 3 *), and consequently, it can increase a resist selection ratio while increasing an etching rate, but it is likely to cause a bowing shape to occur.
  • etching species such as a CF radical (CF*, CF 2 *, and CF 3 *
  • the reason why the deposition of the carbon polymers increases the resist selection ratio is that, on an etched surface of the oxide film, oxygen contained in the oxide film is sputtered out to contribute to the decomposition of the carbon polymers while, on a resist surface, the carbon polymers are not easily removed even by ion bombardment or the like. Moreover, since the CF 4 gas promotes etching while restraining the deposition of the carbon polymers, the etching rate can be increased. Especially, the mixture of the CF 4 gas in the C 4 F 6 gas makes it possible to restrain the deposition of the carbon polymers while supplying a large amount of the etching species. Consequently, even when a contact hole having an aspect ratio of 10 or higher is to be formed, the lack of etching in the hole is also prevented to allow the efficient formation of the contact hole having the high aspect ratio of 10 or higher.
  • the insulating film may be, for example, a PSG film, a BSG film, a BPSG film, an AsSG film, an AsPSG film, an AsBSG film, or the like besides a SiO 2 film.
  • a C 4 F 6 gas or a C 5 F 8 gas having a cyclic molecular structure may be used instead of the C 4 F 6 gas having the straight-chain molecular structure.
  • a C 2 F 6 gas, a C 3 F 8 gas, or a C 4 F 8 gas may be used instead of the CF 4 gas.
  • FIG. 2A is a sectional view showing the structure of an etching sample according to an example of the present invention.
  • a silicon oxide film 22 thermal oxide film
  • a photoresist film 23 having opening portions 24 formed therein is formed on the silicon oxide film 22 .
  • a film thickness Th of the silicon oxide film 22 is 2 ⁇ m
  • a film thickness Tr of the photoresist film 23 is 600 nm
  • a diameter H ⁇ of the opening portion 24 is 0.15 ⁇ m.
  • the sample shown in FIG. 2A is used and is subjected to etching ET through the use of the etching apparatus shown in FIG. 1.
  • FIG. 2B is a sectional view showing a bowing shape after the etching.
  • a contact hole having a bowing shape is formed in the silicon oxide film 22 after the sample shown in FIG. 2A is subjected to the etching ET.
  • a bowing ratio representing the degree of the bowing is defined as a bowing diameter Gc/a top diameter Tc. This bowing ratio is most preferably 1, and preferably falls within a range from 0.95 to 1.05 (within ⁇ 5%). Note that the bowing diameter Gc is a diameter of a portion of the contact hole 25 having the largest bulge, and the top diameter Tc is a diameter of a top portion of the contact hole 25 .
  • a bottom diameter Bc is a diameter of a bottom of the contact hole 25 .
  • a bottom diameter ratio defined as the bottom diameter Bc/the top diameter Tc is most preferably 1. In the case of a hole having a small diameter and a large depth, however, the bottom diameter ratio becomes low. In general, when the top diameter is about 0.15 ⁇ m and the depth of the hole is 2 ⁇ m to 3 ⁇ m, 30% overetching is performed so that the bottom diameter ratio is about 70%.
  • a resist mask selection ratio in this example is equal to a value obtained by dividing an etching rate of the silicon oxide film 22 by an etching rate of the photoresist film 23 in a flat portion.
  • the higher resist mask selection ratio is the better, and it is preferably 5.0 or higher.
  • Etching according to a conventional example was performed in order to compare the result of the etching according to an example of the present invention with that of the conventional example.
  • the conventional etching was performed under such conditions that a C 5 F 8 /Ar/O 2 mixed gas was used under the flow rate ratio of 15/380/19 sccm.
  • the settings were such that the RF power of the upper electrode 2 was 2170 W, the RF power of the lower electrode 3 was 1550 W, the pressure was 2.00 Pa (15 mTorr), the He pressure on the rear face of the wafer W was 2000 Pa (15 Torr) at the center and 3330 Pa (25 Torr) at an edge, the top temperature was 60° C., the wall temperature was 50° C., and the bottom temperature was 20° C.
  • the etching time was set to a 30% underetching condition when the etching rate and the resist selection ratio were to be obtained, and was set to 4 minutes and 48 seconds that corresponds to 30% overetching when a sectional shape was to be evaluated.
  • the spaced interval between the electrodes was 25 mm.
  • a sectional shape having a bowing shape was obtained, where, at the center, middle, and edge of the wafer W, the etching rates were 560 nm/min, 558 nm/min, and 504 nm/min respectively, the resist mask selection ratios on a facet face were 4.9, 5.4, and 5.0 respectively, the bowing ratios were 1.02, 1.06, and 1.03 respectively, the bottom diameters Bc were 107 nm, 108 nm, and 95 nm respectively, and the bottom diameter ratios were 71.3%, 72.0%, and 63.3% respectively.
  • a C 4 F 6 /CF 4 /Ar/O 2 mixed gas containing C 4 F 6 as a first fluorocarbon gas and CF 4 as a second fluorocarbon gas was used under the flow rate ratio of 25/10/500/26 sccm.
  • the settings were such that the RF power of the upper electrode 2 was 1800 W, the RF power of the lower electrode 3 was 1800 W, the pressure was 2.66 Pa (20 mTorr), the He pressure on the rear face of the wafer W was 665 Pa (5 Torr) at the center and 3330 Pa (25 Torr) at the edge, the top temperature was 60° C., the wall temperature was 50° C., and the bottom temperature was ⁇ 10° C.
  • the etching time was set to a 30% underetching condition when the etching rate and the resist selection ratio were to be obtained and was set to 4 minutes and 24 seconds corresponding to 30% overetching when the sectional shape was to be evaluated.
  • the sectional shape without any bowing shape was obtained, where, at the center, middle and edge of the wafer W, the etching rates were 588 nm/min, 606 nm/min, and 622 nm/min respectively, the resist mask selection ratios on the facet face were 5.7, 5.3, and 5.5 respectively, the bowing ratios were 1.00, 1.00, and 1 . 00 respectively, the bottom diameters Bc were 99 nm, 93 nm, and 109 nm respectively, and the bottom diameter ratios were 66.0%, 62.0%, and 72.7% respectively.
  • etching using the C 4 F 6 /CF 4 /Ar/O 2 mixed gas instead of the C 5 F 8 /Ar/O 2 mixed gas realized the control of the bowing ratio within ⁇ 5% and the increase in the etching rate to about 1.12 times and the resist selection ratio to about 1.08 times.
  • etching was performed using a C 4 F 6 /C 2 F 6 /Ar/O 2 mixed gas in which C 2 F 6 was used as the second fluorocarbon gas, in place of the C 4 F 6 /CF 4 /Ar/O 2 mixed gas. All the etching conditions were set to the same as those in the above-described example except that the etching time corresponding to 30% overetching when the sectional shape was to be evaluated was set to 4 minutes and 32 seconds.
  • the etching rates were 608 nm/min, 636 nm/min, and 686 nm/min respectively
  • the resist mask selection ratios on the facet face were 6.2, 5.9, and 6.0 respectively
  • the bowing ratios were 0.98, 0.99, and 1.00 respectively
  • the bottom diameters Bc were 105 nm, 99 nm, and 99 nm respectively
  • the bottom diameter ratios were 70.0%, 66.0%, and 66.0% respectively.
  • etching using the C 4 F 6 /C 2 F 6 /Ar/O 2 mixed gas instead of the C 4 F 6 /CF 4 /Ar/O 2 mixed gas also realized the control of the bowing ratio within ⁇ 5% and the increase in the etching rate to about 1.19 times and the resist selection ratio to 1.18 times.
  • etching was performed using a C 5 F 8 /CF 4 /Ar/O 2 mixed gas in which C 5 F 8 was used as the first fluorocarbon gas, in place of the C 4 F 6 /CF 4 /Ar/O 2 mixed gas.
  • a sample constituted of a silicon base and a BPSG film with a 3 ⁇ m thickness formed thereon was used.
  • a diameter of a hole formed by the etching was 0.25 ⁇ m.
  • Etching conditions were such that the flow rate ratio was 25/15/500/25 sccm, the RF power of the upper electrode 2 was 1750 W, the RF power of the lower electrode 3 was 1800 W, the pressure was 2.66 Pa (20 mTorr), the He pressure on the rear face of the wafer W was 665 Pa (5 Torr) at the center and 3330 Pa (25 Torr) at the edge, the top temperature was 20° C., the wall temperature was 60° C., the bottom temperature was 50° C., and the etching time was the time corresponding to 30% overetching.
  • the average of etching rates at the center, middle, and edge of the wafer W was 680.5 nm/min.
  • etching using a C 5 F 8 /Ar/O 2 mixed gas was performed under the same conditions as those in the above-described case except that CF 4 was removed from the gas used above.
  • the average etching rate was 561.1 nm/min, and at the center, middle, and edge of the wafer W, amounts of the remaining film of the resist mask on the facet face were 91 nm, 112 nm, and 33 nm respectively (the initial film thickness was about 800 nm), the bowing ratios were 1.15, 1.10, and 1.05 respectively, and the bottom diameter ratios were 0.77, 0.67, and 0.62 respectively.
  • FIG. 3 is a chart showing etching characteristics according to an example of the present invention when the kind and the flow rate ratio of fluorocarbon are defined as parameters. Processing conditions were the same as those in the aforesaid example, and overetching was 30%. In FIG.
  • the ratio of the flow rate of the C 4 F 6 gas to that of the C x F y gas is preferably 0.5 or higher, more preferably 1 or higher in view of the resist mask selection ratio.
  • the flow rate of the C 4 F 6 gas is preferably 20 sccm or higher.
  • FIG. 4 is a table showing the calculation result of the C/F ratio of each etching gas as a whole according to an example of the present invention.
  • the C/F ratio of the etching gas as a whole is 0.5 or higher when the ratio of the flow rate of the C 4 F 6 gas to that of the C x F y gas is 1 or higher.
  • the cases where the C/F ratio is 0.5 or higher are shown by the circles.
  • the C/F ratio of the whole etching gas in which two kinds of fluorocarbon gases are mixed is preferably 0.5 or higher in order to increase the resist mask selection ratio.
  • the etching rate can be increased while the resist mask selection ratio is maintained substantially constant. It can be reasoned that this is because the deposition of the carbon polymers does not have significant influence since the C/F ratio (x/y) in C x F y in which the etching species are increased due to the increase in the number (x) of C in C x F y is maintained at 0.5 or lower. Therefore, the number (x) of C in C x F y is preferably larger in view of the etching rate.
  • FIGS. 5A and 5B to FIGS. 7A and 7B respectively show the study results of the changes in the etching rate, the resist mask selection ratio in a flat portion, the bowing ratio, the bottom diameter ratio when the flow rate (addition amount) of the second fluorocarbon gas having a low C/F ratio is varied.
  • FIGS. 5A and 5B show the study results for CF 4
  • FIGS. 6A and 6B show the study results for C 2 F 6
  • FIGS. 7A and 7B show the study results for C 3 F 8 .
  • FIG. 5A, FIG. 6A, and FIG. 7A show the changes in the etching rate and the resist mask selection ratio in the flat portion
  • FIG. 6B, and FIG. 7B show the changes in the bowing ratio and the bottom diameter ratio.
  • Etching conditions are such that the flow rate ratio of C 4 F 6 /C x F y /Ar/O 2 is 35/0 to 35/700/36 sccm, the RF power of the upper electrode 2 is 2200 W, the RF power of the lower electrode 3 is 1800 W, the pressure is 2.66 Pa (20 mTorr), the He pressure on the rear face of the wafer W is 665 Pa (5 Torr) at the center and 3330 Pa (25 Torr) at the edge, the top temperature is 60° C., the wall temperature is 50° C., and the bottom temperature is ⁇ 10° C.
  • the etching time was set to a 30% underetching condition when the etching rate and the resist selection ratio were to be obtained, and set to the time corresponding to 30% overetching when the sectional shape was to be evaluated.
  • the addition of CF 4 , C 2 F 6 , or C 3 F 8 increases the etching rate, and also improves the bowing ratio and the bottom diameter ratio.
  • a contact hole self-alignment contact
  • a silicon oxide film 32 and so on are etched via a resist mask 31 to form a contact hole 34 reaching a silicon substrate 33
  • a silicon nitride film (SiN film) 36 formed around a gate electrode 35 formed on a lower layer is sometimes exposed, as shown in FIG. 8.
  • FIG. 9 shows the result of measuring the changes in the etching rate, the resist mask selection ratio (facet portion), the silicon nitride film selection ratio (SiN selection ratio) of a silicon oxide film (BPSG film) in accordance with the difference in an addition amount of CF 4 .
  • Etching conditions are such that the flow rate ratio of C 4 F 6 /CF 4 /Ar/O 2 is 16/0 to 10/800/16 sccm, the RF power of the upper electrode 2 is 1530 W, the RF power of the lower electrode 3 is 1350 W, the pressure is 3.99 Pa (30 mTorr), the He pressure on the rear face of the wafer W is 665 Pa (5 Torr) at the center and 1330 Pa (10 Torr) at the edge, the top temperature is 40° C., the wall temperature is 60° C., and the bottom temperature is 50° C.
  • the etching time is 90 seconds in the case of measuring the etching rate and the resist mask selection ratio, and is the time corresponding to 100% overetching in the case of measuring the silicon nitride film selection ratio.
  • the thickness of the silicon oxide film is 1400 nm and the diameter of a contact hole is 400 nm.
  • the addition of CF 4 increases the etching rate and the SiN selection ratio.
  • FIG. 10 shows the result of measuring temperature dependency of the etching rate, the resist mask selection ratio (facet portion), the bowing ratio (bowing CD ratio), the bottom diameter ratio (bottom diameter CD ratio), and the silicon nitride film selection ratio of a silicon oxide film (P-SiO 2 film).
  • etching conditions were so set that the RF power of the upper electrode 2 was 1800 W, the RF power of the lower electrode 3 was 2100 W, the pressure was 2.66 Pa (20 mTorr) to 3.33 Pa (25 mTorr), the He pressure on the rear face of the wafer W was 2000 Pa (15 Torr) at the center and 4660 Pa (35 Torr) at the edge, the top temperature was 60° C., the wall temperature was 50° C., and the bottom temperature was ⁇ 20° C. to 20° C. (the wafer temperature was 80° C. to 120° C.).
  • the etching time was 30% underetching in the case of obtaining the etching rate and the resist mask selection ratio of the silicon oxide film, and was the time corresponding to 20% overetching in other cases.
  • the etching rate, the resist mask selection ratio, the bowing ratio, the bottom diameter ratio, and the silicon nitride film selection ratio have temperature dependency.
  • the etching rate and the resist mask selection ratio are higher as the wafer temperature is lower, but the silicon nitride film selection ratio, the bowing ratio, and the bottom diameter ratio are better as the wafer temperature is higher. Therefore, it is seen that they are in a trade-off relation.
  • the wafer temperature exceeds 140° C. (the bottom temperature exceeds 40° C.)
  • the resist is softened to be deformed, and consequently, the shape as the mask cannot be maintained. Therefore, the wafer temperature is preferably 80° C. to 120° C.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
US10/451,107 2000-12-21 2001-12-13 Etching method for insulating film Abandoned US20040035826A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000-389151 2000-12-21
JP2000389151 2000-12-21
PCT/JP2001/010932 WO2002050885A1 (fr) 2000-12-21 2001-12-13 Procede de gravage pour film isolant

Publications (1)

Publication Number Publication Date
US20040035826A1 true US20040035826A1 (en) 2004-02-26

Family

ID=18855762

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/451,107 Abandoned US20040035826A1 (en) 2000-12-21 2001-12-13 Etching method for insulating film

Country Status (7)

Country Link
US (1) US20040035826A1 (ja)
JP (1) JP4008352B2 (ja)
KR (1) KR100782632B1 (ja)
CN (1) CN1249788C (ja)
AU (1) AU2002222631A1 (ja)
TW (1) TW521335B (ja)
WO (1) WO2002050885A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060027530A1 (en) * 2004-08-09 2006-02-09 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
US20060180571A1 (en) * 2005-02-16 2006-08-17 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
WO2008027240A2 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20080305644A1 (en) * 2007-06-07 2008-12-11 Denso Corporation Method of manufacturing semiconductor device including trench-forming process
US20090181545A1 (en) * 2004-06-23 2009-07-16 Nobuyuki Negishi Dry-etching method and apparatus
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4164643B2 (ja) * 2002-07-17 2008-10-15 日本ゼオン株式会社 ドライエッチング方法及びパーフルオロ−2−ペンチンの製造方法
JP4963156B2 (ja) * 2003-10-03 2012-06-27 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR100650835B1 (ko) * 2004-10-29 2006-11-27 에스티마이크로일렉트로닉스 엔.브이. 반도체 소자의 소자분리막 형성방법
JP2007242753A (ja) * 2006-03-07 2007-09-20 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP5214152B2 (ja) * 2007-02-08 2013-06-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP4978512B2 (ja) * 2008-02-29 2012-07-18 日本ゼオン株式会社 プラズマエッチング方法
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
CN103578973B (zh) * 2012-07-29 2017-09-05 中国科学院微电子研究所 氮化硅高深宽比孔的循环刻蚀方法
CN103903978B (zh) * 2012-12-27 2016-12-28 南亚科技股份有限公司 蚀刻方法
CN106297831B (zh) * 2015-05-21 2020-04-21 新科实业有限公司 在衬底形成图案的方法
JP6836959B2 (ja) 2017-05-16 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置、処理システム、及び、多孔質膜をエッチングする方法

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5338399A (en) * 1991-02-12 1994-08-16 Sony Corporation Dry etching method
US5376228A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
US6057247A (en) * 1997-10-29 2000-05-02 Matsushita Electronics Corporation Method for fabricating semiconductor device and method for controlling environment inside reaction chamber of dry etching apparatus
US6184107B1 (en) * 1999-03-17 2001-02-06 International Business Machines Corp. Capacitor trench-top dielectric for self-aligned device isolation
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6316349B1 (en) * 1998-11-12 2001-11-13 Hyundai Electronics Industries Co., Ltd. Method for forming contacts of semiconductor devices
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6337285B1 (en) * 2000-03-21 2002-01-08 Micron Technology, Inc. Self-aligned contact (SAC) etch with dual-chemistry process
US6337244B1 (en) * 2000-03-01 2002-01-08 Micron Technology, Inc. Method of forming flash memory
US6346482B2 (en) * 1998-05-08 2002-02-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved contact structure and a manufacturing method thereof
US20020024093A1 (en) * 2000-08-23 2002-02-28 Tae-Hyuk Ahn Semiconductor device with self-aligned contact structure employing dual spacers and method of manufacturing the same
US20020030174A1 (en) * 1997-12-27 2002-03-14 Masahiro Yamada Etchant for use in a semiconductor processing method and system
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
US20020061654A1 (en) * 2000-04-19 2002-05-23 Kenshi Kanegae Etching method, semiconductor and fabricating method for the same
US6417090B1 (en) * 1999-01-04 2002-07-09 Advanced Micro Devices, Inc. Damascene arrangement for metal interconnection using low k dielectric constant materials for etch stop layer
US6423242B1 (en) * 1998-12-02 2002-07-23 Tokyo Electron Limited Etching method
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US20020179577A1 (en) * 1999-09-13 2002-12-05 Chishio Koshimizu Plasma processing method and apparatus for eliminating damages in a plasma process of a substrate
US20030000913A1 (en) * 1999-03-25 2003-01-02 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US20030020411A1 (en) * 2001-07-24 2003-01-30 Tokyo Electron Limited Plasma processing apparatus and method of controlling chemistry
US6518164B1 (en) * 2001-11-30 2003-02-11 United Microelectronics Corp. Etching process for forming the trench with high aspect ratio
US20030040194A1 (en) * 2000-05-02 2003-02-27 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US6562714B1 (en) * 2001-06-08 2003-05-13 Promos Technologies, Inc. Consolidation method of junction contact etch for below 150 nanometer deep trench-based DRAM devices
US20030127422A1 (en) * 2000-05-12 2003-07-10 Kazuo Tsuchiya Method of high selectivity sac etching
US20040005789A1 (en) * 1999-11-10 2004-01-08 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US20040035825A1 (en) * 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US20050003675A1 (en) * 2000-11-01 2005-01-06 Carducci James D. Dielectric etch chamber with expanded process window
US6842658B2 (en) * 2001-02-01 2005-01-11 Hitachi, Ltd. Method of manufacturing a semiconductor device and manufacturing system

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3154128B2 (ja) * 1991-05-24 2001-04-09 ソニー株式会社 ドライエッチング方法
KR100246029B1 (ko) * 1997-10-20 2000-03-02 구자홍 간헐 영상 녹화재생장치
JP3003657B2 (ja) * 1997-12-24 2000-01-31 日本電気株式会社 半導体装置の製造方法
TW511335B (en) * 1998-06-09 2002-11-21 Mitsubishi Electric Corp Integrated circuit
JP2000252259A (ja) * 1999-02-25 2000-09-14 Sony Corp ドライエッチング方法及び半導体装置の製造方法
JP4839506B2 (ja) * 2000-04-28 2011-12-21 ダイキン工業株式会社 ドライエッチング方法

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5338399A (en) * 1991-02-12 1994-08-16 Sony Corporation Dry etching method
US5376228A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
US6057247A (en) * 1997-10-29 2000-05-02 Matsushita Electronics Corporation Method for fabricating semiconductor device and method for controlling environment inside reaction chamber of dry etching apparatus
US20020030174A1 (en) * 1997-12-27 2002-03-14 Masahiro Yamada Etchant for use in a semiconductor processing method and system
US6346482B2 (en) * 1998-05-08 2002-02-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved contact structure and a manufacturing method thereof
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6316349B1 (en) * 1998-11-12 2001-11-13 Hyundai Electronics Industries Co., Ltd. Method for forming contacts of semiconductor devices
US6423242B1 (en) * 1998-12-02 2002-07-23 Tokyo Electron Limited Etching method
US6417090B1 (en) * 1999-01-04 2002-07-09 Advanced Micro Devices, Inc. Damascene arrangement for metal interconnection using low k dielectric constant materials for etch stop layer
US6184107B1 (en) * 1999-03-17 2001-02-06 International Business Machines Corp. Capacitor trench-top dielectric for self-aligned device isolation
US20030000913A1 (en) * 1999-03-25 2003-01-02 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US20020179577A1 (en) * 1999-09-13 2002-12-05 Chishio Koshimizu Plasma processing method and apparatus for eliminating damages in a plasma process of a substrate
US20040005789A1 (en) * 1999-11-10 2004-01-08 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6337244B1 (en) * 2000-03-01 2002-01-08 Micron Technology, Inc. Method of forming flash memory
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6337285B1 (en) * 2000-03-21 2002-01-08 Micron Technology, Inc. Self-aligned contact (SAC) etch with dual-chemistry process
US20020061654A1 (en) * 2000-04-19 2002-05-23 Kenshi Kanegae Etching method, semiconductor and fabricating method for the same
US20030040194A1 (en) * 2000-05-02 2003-02-27 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US20030127422A1 (en) * 2000-05-12 2003-07-10 Kazuo Tsuchiya Method of high selectivity sac etching
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
US20020024093A1 (en) * 2000-08-23 2002-02-28 Tae-Hyuk Ahn Semiconductor device with self-aligned contact structure employing dual spacers and method of manufacturing the same
US20050003675A1 (en) * 2000-11-01 2005-01-06 Carducci James D. Dielectric etch chamber with expanded process window
US20040035825A1 (en) * 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US6842658B2 (en) * 2001-02-01 2005-01-11 Hitachi, Ltd. Method of manufacturing a semiconductor device and manufacturing system
US6562714B1 (en) * 2001-06-08 2003-05-13 Promos Technologies, Inc. Consolidation method of junction contact etch for below 150 nanometer deep trench-based DRAM devices
US20030020411A1 (en) * 2001-07-24 2003-01-30 Tokyo Electron Limited Plasma processing apparatus and method of controlling chemistry
US6518164B1 (en) * 2001-11-30 2003-02-11 United Microelectronics Corp. Etching process for forming the trench with high aspect ratio

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090181545A1 (en) * 2004-06-23 2009-07-16 Nobuyuki Negishi Dry-etching method and apparatus
US20060027530A1 (en) * 2004-08-09 2006-02-09 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
US7794616B2 (en) * 2004-08-09 2010-09-14 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
US20060180571A1 (en) * 2005-02-16 2006-08-17 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
US7416676B2 (en) * 2005-02-16 2008-08-26 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
WO2008027240A2 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
WO2008027240A3 (en) * 2006-08-31 2008-05-15 Micron Technology Inc Selective etch chemistries for forming high aspect ratio features and associated structures
US7517804B2 (en) 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US8088691B2 (en) 2006-08-31 2012-01-03 Micron Technology, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20080305644A1 (en) * 2007-06-07 2008-12-11 Denso Corporation Method of manufacturing semiconductor device including trench-forming process
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures

Also Published As

Publication number Publication date
CN1249788C (zh) 2006-04-05
JP4008352B2 (ja) 2007-11-14
JPWO2002050885A1 (ja) 2004-04-22
KR20030066747A (ko) 2003-08-09
AU2002222631A1 (en) 2002-07-01
CN1483219A (zh) 2004-03-17
WO2002050885A1 (fr) 2002-06-27
KR100782632B1 (ko) 2007-12-06
TW521335B (en) 2003-02-21

Similar Documents

Publication Publication Date Title
US6746961B2 (en) Plasma etching of dielectric layer with etch profile control
US6630407B2 (en) Plasma etching of organic antireflective coating
US6617257B2 (en) Method of plasma etching organic antireflective coating
US7547635B2 (en) Process for etching dielectric films with improved resist and/or etch profile characteristics
US6569774B1 (en) Method to eliminate striations and surface roughness caused by dry etch
US6489248B2 (en) Method and apparatus for etch passivating and etching a substrate
US6387287B1 (en) Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6696366B1 (en) Technique for etching a low capacitance dielectric layer
US6114250A (en) Techniques for etching a low capacitance dielectric layer on a substrate
EP1042796B1 (en) Improved techniques for etching an oxide layer
US6399511B2 (en) Plasma etch process in a single inter-level dielectric etch
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US20040035826A1 (en) Etching method for insulating film
US5935877A (en) Etch process for forming contacts over titanium silicide
US6015761A (en) Microwave-activated etching of dielectric layers
US6297163B1 (en) Method of plasma etching dielectric materials
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6217786B1 (en) Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6136722A (en) Plasma etching method for forming hole in masked silicon dioxide
US6228774B1 (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ADACHI, KENJI;KOBAYASHI, NORIYUKI;REEL/FRAME:014861/0573

Effective date: 20030527

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION