JP4723871B2 - ドライエッチング装置 - Google Patents

ドライエッチング装置 Download PDF

Info

Publication number
JP4723871B2
JP4723871B2 JP2005030682A JP2005030682A JP4723871B2 JP 4723871 B2 JP4723871 B2 JP 4723871B2 JP 2005030682 A JP2005030682 A JP 2005030682A JP 2005030682 A JP2005030682 A JP 2005030682A JP 4723871 B2 JP4723871 B2 JP 4723871B2
Authority
JP
Japan
Prior art keywords
gas
etching
processed
plasma
dry etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005030682A
Other languages
English (en)
Other versions
JP2006041470A (ja
Inventor
伸幸 根岸
勝 伊澤
雅嗣 荒井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2005030682A priority Critical patent/JP4723871B2/ja
Priority to TW094105877A priority patent/TWI263278B/zh
Priority to KR1020050016386A priority patent/KR101021665B1/ko
Priority to US11/067,700 priority patent/US20050284571A1/en
Publication of JP2006041470A publication Critical patent/JP2006041470A/ja
Priority to US12/400,697 priority patent/US20090181545A1/en
Application granted granted Critical
Publication of JP4723871B2 publication Critical patent/JP4723871B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明はエッチング工程の中でも層間絶縁膜のエッチングに用いられるドライエッチング装置およびエッチング方法に関し、ArFリソグラフィー以降のレジストパターンを用いた、ビア形成、高アスペクト比コンタクト形成、自己整合コンタクト形成、トレンチ形成、ダマシン形成、ゲートマスク形成等においてレジストダメージを低減できる方法に関するものである。
半導体装置において、ウエハ上に形成されたトランジスタと金属配線間および金属配線間を電気的に接続するために、トランジスタ構造の上部および配線間に形成された層間絶縁膜に、プラズマを利用したドライエッチング方法でコンタクトホールを形成し、コンタクトホール内に、半導体もしくは金属を充填する。特に、90nmノード以降の高集積・高速Logicデバイス製造では、誘電率が低いLow−k材料である層間絶縁膜にドライエッチング方法にて溝やビアを形成しCuを配線材料として埋め込むダマシン工程と、より微細なパターン形成を行うために193nmの光源を用いたArFリソグラフィーが用いられている。ドライエッチング方法は、真空容器内に導入されたエッチングガスを外部から印加された高周波電力によりプラズマ化し、プラズマ中で生成された反応性ラジカルやイオンをウエハ上で高精度に反応させることで、レジストに代表されるマスク材料や、ビア、コンタクトホールの下にある配線層や下地基板に対し選択的に被加工膜をエッチングする技術である。
通常、半導体回路の配線パターン形成の際には、被加工膜上に有機膜系反射防止膜(BARC)が形成され、更にその上にレジスト膜が形成される。BARCは、リソグラフィの光源であるレーザ光の干渉による異常パターン形成を防止するために用いられる。レジストパターン形成後、BARCエッチングを行ない、その後、被加工膜のエッチング(メインエッチング)が行なわれる。BARCエッチングでは、BARCの材質がレジストと同様にCリッチであるために、CF、CHF等のFリッチなフロロカーボンガス及びArに代表される希ガス及び酸素ガスの混合ガスを導入し、0.5Paから10Paの圧力領域でプラズマを形成し、ウエハに入射するイオンエネルギーを0.1kVから1.0kVの範囲で制御してエッチングを行う。
また、ビアやコンタクトホール形成では、プラズマガスとして、CF、CHF、C、CO、C、C、C等のフロロカーボンガス及びArに代表される希ガス及び酸素ガス及びCOガス等の混合ガスを導入し、0.5Paから10Paの圧力領域でプラズマを形成し、ウエハに入射するイオンエネルギーを0.5kVから2.5kVまで加速する。
これらのエッチングでは、プラズマが着火してから、十分にプラズマが成長してからウエハにバイアス電力を印加していた。仮にプラズマが十分に成長しない、若しくはプラズマ条件によってはプラズマが着火しない条件下でウエハにバイアス電力を印加した場合、ウエハに流れ込む電流が十分確保できない若しくは全く電流が流れないために、バイアス電力供給線路やウエハを設置する電極、若しくはウエハに異常に高い電圧がかかってしまう。それにより、バイアス電力供給線路の絶縁破壊や電極上の溶射膜破壊、若しくはウエハの割れを引き起こす可能性がある。従って、量産性の観点から通常プラズマ着火を検出する手段(発光強度のモニタ)を設け、着火検出から一定時間後にウエハバイアス電力を印加していた。また、ガス条件(ガス種、ガス流量)、ウエハ冷却用の裏面ガス圧力は基本的にエッチング開始からエッチング終了まで同一条件にて処理を行っていた。
このような、エッチング工程において、ArFリソグラフィー以降のレジスト材料は、従来のKrFレジストやi線レジストに比べエッチングによるレジストレートが大きいこと、レジストダメージに起因する表面荒れが大きいことが問題となる。
KrFレジストではそのエッチング耐性がArFに比べ十分に大きく、また、デバイスの集積度もそれほど大きくなかったため、ストライエーションやラインエッジラフネスは大きな問題とはならなかった。しかしながら、特にゲート電極形成のためのSiOに代表されるハードマスクエッチングや素子分離形成用マスクとして用いるSiNマスクエッチング等仕上り寸法精度が要求されるエッチングでは、エッチング後のレジスト粗さに起因するラインエッジラフネスの悪化はデバイス特性に大きな影響を与える。また、現在高集積Logicデバイス製造で導入が進められている層間絶縁膜であるLow−k材料(SiOC膜)のエッチングでは、比較的高いバイアスによる高エネルギーのイオン照射や、O2リッチなガス雰囲気でエッチング処理を行うため、パターン側壁のストライエーション発生に加え、パターンの無いところに局所的な穴があいてしまうレジスト突き抜け現象が発生する。
そこで、本発明は、ArFリソグラフィー世代以降のレジストをマスクとして用いるエッチングプロセスにおいて、レジストのエッチング耐性を確保するエッチング方法ならびに本方法を実現するエッチング装置を提供することを目的とする。
本発明は、以下のいずれかの手段を用いることによりエッチング初期におけるウエハへのカーボン堆積を従来よりも低減し、レジストのエッチング耐性を確保する。
第1の手段においては、ArFレジスト等、従来のレジスト材料に比べてエッチング耐性が低いレジスト材料を用いたエッチングプロセスにおいて、有機系反射防止膜のエッチングまたは被加工層のエッチングにおけるプラズマ着火からウエハにバイアス電力を印加するまでの時間を制御する(望ましくは1秒以内)ことにより前記の課題を解決する。
第2の手段においては、エッチング開始からウエハ温度が一定値に飽和するまでのガス条件として、実際のエッチング条件よりも低C/F比のガスを用いること、または、低流量のCxFyガスを用いることにより、前記の課題を解決する。
第3の手段においては、エッチング開始からある一定の時間の間、実際のエッチングでの裏面ガス圧力を低く設定することにより、前記課題を解決する。
第4の手段においては、ウエハを真空容器内に搬送するまでにウエハを所望の温度まで昇温しておくことにより、前記課題を解決する。
第5の手段においては、プラズマ中のラジカル量を計測し、その計測値に基づきバイアス電力を印加するタイミング、エッチング初期のガス条件、裏面ガス圧力等を制御することにより、前記課題を解決する。
第6の手段においては、ウエハに対し対向もしくは斜方もしくは裏面から、直接もしくは間接的にウエハ表面温度をモニタすることで、上記制御を高精度に行うことができる。
第7の手段においては、処理条件によるウエハ表面温度のエッチング時間依存性を計算によって予め予測し、それが所望のプロファイルとなるように手動もしくは自動でウエハ裏面ガス圧力とその時間を設定することで、高精度なエッチングが可能となる。
本発明により、エッチング耐性が弱いArFリソグラフィー以降のレジストを用いたパターン形成において問題となるレジストダメージを効率的に抑制でき、レジストダメージに起因するレジスト突き抜けやストライエーションを改善できる。また、プラズマ中のラジカルをモニタすることでエッチング雰囲気に合わせた制御が可能となり、長期安定性の向上にも貢献できる。
ArFリソグラフィー世代以降のレジストをマスクとして用いるエッチングプロセスにおいて、レジストダメージを抑制する手段は、BARC加工とコンタクト形成などのメインエッチングで異なる。具体的には特願2003―303961に記載されている。それによれば、堆積が少ないエッチング条件にて処理を行うBARC加工では、イオンスパッタ成分を低減することが重要であり、そのために希釈ガスとして用いるArの流量比を全プラズマガス流量に対して10%以下(望ましくは0%)とする。これにより、BARC加工後のレジスト表面がスムースとなり、次に処理をするメインエッチング条件(例えばコンタクト加工)にてレジストダメージの程度を抑制できる。
一方、堆積が多いコンタクト加工では、プラズマ中の解離を抑制するためにイオン化エネルギーの小さいXeやKrガスで希釈する、若しくは、通常希釈ガスとして用いるArガスにXeやKrを添加することが有効である。すなわち、エッチング中の堆積膜質(例えばXPS(X-Ray Photoelectron Spectroscopy)で測定したF/C比)がFリッチであるほど、また、堆積量そのものが少ないほど、レジストダメージを抑制できる。
本発明では、それらの結果を鑑み、更にレジストダメージを抑制する手段を提供するものである。
エッチング初期のウエハ温度が低い条件下ではウエハ温度が上昇したエッチング定常状態の場合に比べ堆積膜厚が厚くなる。この過剰堆積を抑制するためには大きく3つのアプローチが考えられる。
1つめは、プラズマが着火してから、イオンを加速するために必要なバイアス電力を印加するまでの時間を極力短くすることである。しかしながら、プラズマの成長が不十分な時点でバイアス印加するとウエハに流れ込む電流を十分確保できず、正常時に比べ異常に高い電圧がバイアス電力伝送線路や電極、ウエハにかかってしまうため、各部の絶縁破壊、ウエハ割れを引き起こす恐れがある。従って、プラズマ着火をモニタし、そのモニタ値に従ってバイアス印加のタイミングを制御することが重要である。
2つめは、エッチングの開始段階に低堆積条件のエッチングステップを挿入することである。具体的には、メインエッチング条件で用いるCxFyガスに比べ低C/F比のガス種を用いることである。基本的に、プラズマ形成電力が一定な条件下においては、図7に示すようにフロロカーボンガス(CxFy)のC/F比を小さくするにともない堆積量は低減する。従って、エッチング定常状態となっていないエッチング開始時に低C/F比ガスを用いることで、ウエハ温度が定常状態となるまでの間にウエハに堆積するCFポリマーを抑制できる。その後、実際のメインエッチング条件に移行することでエッチング性能に影響を与えることなく、レジストダメージを抑制可能である。また、同様の効果をもたらす手段として、CxFyガス流量の制御がある。エッチング開始時のガス流量を実エッチング条件のガス流量よりも低減することにより、エッチング開始時の過剰堆積を抑制できる。
3つめは、エッチング開始時に、実際のエッチング条件下での裏面ガス圧力よりも低い圧力のステップを導入することである。これにより、エッチング初期のウエハ温度を高温度化できる。通常、ウエハ温度を制御するためには、ウエハを設置する電極内部にフロリナートなどの冷媒を流し、ウエハと電極間に熱伝導の高いヘリウムガスを封じ込めて熱接触を向上させる。冷媒温度をある設定値に制御し、バイアス電力をウエハに印加した場合、ウエハ温度は裏面ヘリウムガスの圧力により一意に決定される。(図4)
また、これらの手段をプラズマ中のラジカル量のモニタ値に従って制御することが有効である。量産現場でウエハを何枚も処理する場合、処理枚数に伴い壁に堆積するCF系のポリマーが増大するため、処理枚数に伴い壁からCF系のラジカルがプラズマ中に放出される。それに従いウエハ上への堆積が次第に多くなりレジストダメージの発生が懸念される。しかしながら、例えばC2の発光強度をモニタし、その値に従ってエッチング初期に導入するステップでのガス条件(ガス流量やガス種)やステップ時間などを制御することで、処理枚数に係わらず、常にレジストダメージの少ないエッチングを実現できる。
[実施例1]
本実施例では、プラズマ着火からバイアス電力ONまでのタイミングと裏面ヘリウム導入のタイミングを変えてレジストダメージに起因したストライエーションを低減する方法を説明する。図1に、コンタクト加工時に測定したウエハにバイアス電力を印加してからの時間とウエハ表面温度の関係を示す。ウエハは8インチであり、バイアス電力の設定値は1500Wである。この図に示すように、バイアス電力が比較的高いエッチング条件では、ウエハ表面温度は主にバイアス電力にて決定される。この条件下では、バイアス電力印加前の表面温度に比べ、エッチング定常状態ではおよそ35℃程度表面温度が高温度化していることがわかる。また、ウエハを設置する電極には熱容量があるため、温度が飽和するまで10秒程度時間がかかる。本コンタクト加工条件では、レジストに対する選択比を確保するために、エッチングガスにAr、C、O、COガスの混合ガスを用いているが、その場合、温度が飽和するまでの時間にウエハ表面に過剰な堆積が生じることとなる。
図2はレジスト表面を拡大したときのエッチング時の模式図である。図2(a)はフロロカーボン堆積膜1が少ない場合、図2(b)はフロロカーボン堆積膜1が過剰な場合を示す。次にイオンが入射して図2(a)や(b)の表面にエネルギーを与えエッチングが進展するが、図2(a)の場合は、堆積の厚さが適度であるため、イオンのエネルギーがフロロカーボン堆積膜1でそれほど減衰されず、下地のレジスト2の表面まで到達する。従って図2(c)に示すように、レジスト2の表面の凹凸は図2(a)と同程度の状態を保つことができる。一方、フロロカーボン堆積膜1が過剰である図2(b)の場合は、凹部分ではイオンエネルギーがそれほど減衰されないためにエッチングが進展して図2(c)の凹部分と同等の深さまでエッチングが進展するが、凸部分ではフロロカーボン堆積膜1が厚いためにイオンのエネルギーがレジスト表面まで十分に到達できずエッチングが進展しない。そのため、図2(d)に示すように、図2(b)に比べ凹凸が激しくなり、レジストダメージが進展することとなる。つまり、過剰の堆積がレジストダメージの大きな要因となるのである。ここではエッチング初期の過剰堆積を抑制するためにエッチングシーケンスを変えてレジストダメージを評価した結果を述べる。ガス条件は、Arを500ml/min、Cを30ml/min、Oを36ml/min、COを200ml/minとして、そのときのガス圧力を2Paに設定した。プラズマ発生用高周波電力は本条件では400Wである。
図3(a)、(b)、(c)は評価を行った3種類のエッチングシーケンスである。(夫々シーケンスA、シーケンスB、シーケンスCとする。)シーケンスAはプラズマ発生用高周波電源出力がON(プラズマが着火)してから5秒後にウエハにバイアス電力を印加した例である。その際、ウエハと電極の間にヘリウムガスをプラズマ着火以前から導入しており、プラズマ着火の時点では設定圧力(1.5kPa)に対し70%程度まで高圧化している。この場合、プラズマ着火してからウエハにバイアス電力がONするまではプラズマ中で解離したガスがCF系のラジカルとなり、ウエハに堆積する。さらに、裏面ヘリウム圧力が既に高いためにウエハ温度は低く保たれ堆積を促進する。一方、シーケンスB、Cに改善後のシーケンスを示す。シーケンスBではプラズマ着火から1秒後にバイアスが印加され、裏面ヘリウムガスに関しては、シーケンスAと同様である。シーケンスCではプラズマ着火から1秒後にバイアスが印加され、さらに裏面ヘリウムガスはウエハへバイアス印加と同時に導入されている。図4に示すように裏面ヘリウム圧力とウエハ表面温度は密接に関係しており、圧力が高い程表面温度は低下する。変化率は本実験条件ではおよそ3.3℃/0.1kPaである。従って、シーケンスCではウエハ温度もシーケンスA、Bに比べエッチング初期で高温度化していると考えられる。
この3つのシーケンスにて処理を行ったときの走査電子顕微鏡像(SEM像)を図5に示した。膜構造は、ArFリソグラフィー対応レジスト、レーザの反射干渉による異常パターン形成を抑制するための有機系反射防止膜(BARC)、被加工膜であるシリコン酸化膜、下地シリコン基板である。レジストダメージが被加工膜であるシリコン酸化膜に転写して形成された縦筋(ストライエーション6)を観察するために、エッチング処理後のサンプルはアッシング処理にてレジスト、BARCの2層を除去してある。図5(a)のシーケンスAを適用した場合は、密ホールパターン4のストライエーションとパターンが存在しないところに孔が開く現象(ピッティング5)が多く見られ、トレンチパターン3の荒れ具合の指標であるラインエッジラフネスが18.1nmであった。それに対し、図5(b)のシーケンスBを適用した場合では、ストライエーション6、ピッティング5共に若干改善し、トレンチパターン3のラインエッジラフネスは13.1nmまで改善した。さらに図5(c)のシーケンスCを適用した場合では、ストライエーション6、ピッティング5共に改善しており、トレンチパターン3のラインエッジラフネスも9.2nmとなった。
なお、これらの処理を行う場合、予め予備実験を行い、各ステップで裏面ヘリウム圧力を設定しても良いが、図9に図示したウエハと対向する誘電体114内に斜めに設置した放射温度計128により常にウエハ表面温度をモニタし、そのモニタ値が所望の値となるように、裏面ヘリウム圧力を制御することも効果的である。また、ウエハ表面温度のモニタを行う代わりに、エッチング条件からウエハ表面温度の処理時間依存性を算出し、それが所望のプロファイルとなるように自動もしくは手動で裏面ヘリウム圧力を設定しても良い。ちなみに、上記放射温度計を設置する際には、図13の放射温度計部の拡大図に示すように細管401の奥に設置するのが良い。それによってプラズマ中で生成されたフロロカーボン系の堆積による温度計測定部の曇りを防止することができる。一方、図14に示したようにシリコン円板116の裏側から放射温度計を設置する方法もある。この場合、電界による異常放電を抑制するために、石英ロッド402を挿入すると良い。
次に、エッチング初期にガス条件を変更した場合による実施例を示す。メインエッチングのガス条件は、Arを500ml/min、Cを30ml/min、Oを36ml/min、COを200ml/minとし、処理圧力は2Paに設定した。ウエハ表面温度が低いエッチング開始時の堆積を抑制するために、メインエッチングの前にガス条件を変えたステップを12秒挿入した。ガス条件はArを125ml/min、Cを7.5ml/min、Oを7ml/min、COを50ml/minとし、圧力は0.5Paである。このときのプラズマ発生用電力はメインエッチング条件と同様に400Wとした。この条件では、メインエッチング条件に比べ堆積量が40%低減できる。本条件の適用前と適用後のエッチング結果を夫々図6(a)、(b)に示した。トレンチパターン3のラインエッジラフネスは13.6nmから9.0nmに低減した。ここでは、ガス種を変更しないで流量、圧力を変更した条件をエッチング開始時に挿入した例を示したが、ガス種を変更することでも効果がある。図7はCxFyガスのC/F比とエッチング表面に堆積したCF堆積量の関係を示したものである。この結果から明らかなように、ガス種を低C/F比化することでも堆積量を低減できる。なお、バイアス電力ONのタイミング、裏面ヘリウムONのタイミングとガス条件の変更を併せて行うことで効果を増大できることは言うまでもない。
また、過剰な堆積を抑制するという観点から、メインエッチング条件を低圧力且つ低流量条件に変更することが望ましい。具体的には、Ar流量が0ml/minから200ml/minで、CxFyガス流量がAr流量の2%から10%の範囲内、且つ処理圧力が0.1Paから1.0Paの範囲内が望ましい。
[実施例2]
本実施例ではプラズマ中のラジカル量をモニタし、そのモニタ値に従って、エッチング初期の堆積抑制ステップを制御する実施例を説明する。図8は、真空容器の壁が冷たい状態にてプラズマを着火させ、発光強度比C2/O比をモニタした結果である。ここではカーボン系堆積のラジカル種としてC2を、また堆積種を除去するラジカル種としてOに着目した。放電開始から200秒程度までは壁が冷たいためにプラズマ中のラジカルが壁に吸着して、本来の値よりも小さい値を示しているが、それ以降では壁への吸着と壁からの脱離がバランスし、飽和傾向を示しながらも漸増していることが分かる。すなわち、量産現場にて同一条件にてエッチング処理を行う場合、ウエハ処理枚数が多くなるに従ってエッチング初期の堆積量が多くなることを示している。実施例1で説明したように、エッチング初期の堆積量を制御(抑制)することでArFリソグラフィー対応レジストのダメージを低減できるが、量産現場では1枚目からN枚目まで如何にエッチング性能を安定に保持するかが非常に重要となる。
図9は本実施例を実現するためのエッチング装置の概略図である。通常のエッチング装置と構成は大きく変わらないが、プラズマからの発光をモニタするための発光分光計測系が具備されている。発光分光計測系は光ファイバ122、モノクロメータ123、光電子増倍管124、データサンプリングを行う計測用パソコン125から成っている。光電子増倍管124の代わりにCCDカメラを用いて複数の波長の光を同時に計測する構成でも良い。一方、エッチング条件を制御する制御用パソコン127と計測用パソコン125の間には、計測用パソコンから出力される計測値によってエッチング条件の自動変更を指示するためのデータベース用パソコン126がある。データベースには予め対象とする発光強度若しくは発光強度比に対してエッチング初期のエッチング条件(バイアス電力ONのタイミング、裏面ヘリウムONのタイミングとガス条件)が格納されている。この制御指針はあらかじめ実験にて規則性を求めておいても良いし、シミュレーションにより自動的に生成することでも構わない。次に具体的な流れを示す。まず1枚目のウエハの処理を開始する。この際、エッチング初期のエッチング条件は予め決められた条件を適用する。常に発光分光計測系にてプラズマの発光はモニタされており、メインエッチングのステップに入ってからある決められた時間t1での発光強度比(R1_1)と、メインエッチングのステップが終了する付近のある決められた時間t2での発光強度比(R1_2)をモニタする。また1枚目と同様の条件にて処理された2枚目のウエハからt1、t2での発光強度比(R2_1、R2_2)をモニタする。これら4つのデータの比較から、3枚目のR3_1を予測し、エッチング初期のステップに用いるエッチング条件を決定する。ここでは、前のウエハまでの発光データから次に処理するウエハの発光データを予測し処理条件を決定する方法を示したが、実際にエッチングを開始した時点での発光データからリアルタイムに処理条件を変更することでも同様の効果が得られる。但し、あくまでもエッチング初期のウエハ温度が過渡状態にある時間帯のエッチング条件の制御であって、メインエッチング条件を変更するものではない。
[実施例3]
本実施例では、プロセス条件ではなく、処理前にウエハ温度を高温度化する実施例を説明する。図10はエッチングシステムの概略を示した図である。ウエハ206はカセットから取り出された後アライメント調整を行う工程を経てロードロック室201に搬送され真空引きされる。その後バッファ室202を経てエッチングを行うためのエッチングチャンバ204に導入される。エッチング室で所定の処理が行なわれた後、ウエハはアンロード室206より装置外に搬出される。ここでは、アライメント調整を大気中で行う例を示したが、これは真空中で行っても構わない。本実施例の特徴は、ウエハ206を予め予備過熱をしておくことである。予備加熱の手段としては、例えばバッファ室202の真空搬送用ロボットのアーム203にヒータを設置すると良い。なお、図示されてはいないが、バッファ室202のアームに設置されたヒータには、ヒータを設定温度に制御するための制御装置が設けられている。また、当該制御装置と、図9に示すデータベース用パソコン126を信号伝送線路で接続し、データベースパソコン126からバッファ室202へ最適な設定温度を伝送するようにしても良い。また、予備加熱の方法としては、ウエハをエッチングチャンバに搬送した後でも可能である。その場合には図15に示すように電極に埋め込まれたヒータ403を用いて処理前にウエハ温度を所定の温度まで高温度化してから処理を開始する。一方、図16に示すように石英に代表される誘電体114を介してチャンバ外部からランプ404によって加熱を行うことも有効である。その場合、電磁波の漏れを防ぐために導体板に穴を開けたパンチメタル405を設置するのが望ましい。
エッチング定常状態でのウエハ表面温度の上昇温度ΔTは、ウエハ206に印加されるバイアス電力に起因する入熱Qと各部の熱抵抗(ウエハR1、裏面ヘリウムR2、電極R3)を用いるとΔT=Q×R1+Q×R2+Q×R3にて決定される。従って、バイアス電力に対し一意にΔTが決まり、エッチング定常状態における表面温度Tは電極に流れる冷媒の温度T1を用いてT=T1+ΔTと表される。従って、少なくともエッチング定常状態で予測されるウエハ表面温度T程度にウエハを加熱しておけば、エッチング初期での低温度状態が回避される。また、ウエハ設置による温度低下を考慮して、予備過熱温度をTよりも高く温度制御しておくことも、エッチング初期での低温度状態を予防する上で効果的である。電極にウエハが設置された場合、電極の温度が低いためにウエハ温度が低下する場合があるためである。ウエハ設置と同時若しくはできるだけ早い段階でエッチングを開始しても良い。そのため、エッチング開始のタイミングを、ウエハ設置のタイミングを基準として制御しても良い。
[実施例4]
本実施例は、以下の特徴を有する半導体装置の製造方法について記載する。
半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとして前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、プラズマの着火を検出する手段を有し、前記有機系反射防止膜および被加工層のエッチングを開始する際にプラズマが着火してから半導体基板にバイアス電力を印加するまでの時間を前記検出値に合わせて制御することを特徴とする半導体装置の製造方法。
または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとして前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、前記有機系反射防止膜および被加工層のエッチングを開始する際にプラズマが定常状態になる前に半導体基板にバイアス電力を印加することを特徴とする半導体装置の製造方法
または、上記半導体装置の製造方法において、プラズマが着火してから半導体基板にバイアス電力を印加するまでの時間を1秒以内とすることを特徴とする半導体装置の製造方法。
または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとして前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、前記有機系反射防止膜および被加工層のエッチングの際に、エッチング開始から半導体基板温度が一定値に飽和するまでの時間を該エッチング条件よりも半導体基板上の堆積量が少なくなるようなガス条件に変更して処理することを特徴とする半導体装置の製造方法。
または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとして前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、プラズマの着火を検出する手段を有し、前記有機系反射防止膜および被加工層のエッチングの際にプラズマが着火してから半導体基板にバイアス電力を印加するまでの時間を前記検出値に合わせて制御することと、エッチング開始から半導体基板温度が一定値に飽和するまでの時間を該エッチング条件よりも半導体基板上の堆積量が少なくなるようなガス条件に変更して処理することを併せて行うことを特徴とする半導体装置の製造方法。
または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとして前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、前記有機系反射防止膜および被加工層のエッチングの際にプラズマが定常状態になる前に半導体基板にバイアス電力を印加することと、エッチング開始から半導体基板温度が一定値に飽和するまでの時間を該エッチング条件よりも半導体基板上の堆積量が少なくなるようなガス条件に変更して処理することを併せて行うことを特徴とする半導体装置の製造方法。
または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとして前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、前記有機系反射防止膜および被加工層のエッチングの際に、半導体基板と半導体基板を設置する電極の間に封入するガス圧力をメインエッチング条件での所定の圧力よりも低い圧力に設定して処理するステップを導入することを特徴とする半導体装置の製造方法。
または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとして前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、前記有機系反射防止膜および被加工層のエッチングの際に、半導体基板と半導体基板を設置する電極の間に封入するガス圧力をメインエッチング条件での所定の圧力よりも低い圧力に設定して処理するステップを導入し、その時間を半導体基板温度に従って制御することを特徴とする半導体装置の製造方法。
または、上記6つの半導体装置の製造方法において、前記有機系反射防止膜および被加工層のエッチングの際に、半導体基板と半導体基板を設置する電極の間に封入するガス圧力をメインエッチング条件での所定の圧力よりも低い圧力に設定して処理するステップを導入することを特徴とする半導体装置の製造方法。
または、上記6つの半導体装置の製造方法において、前記有機系反射防止膜および被加工層のエッチングの際に、半導体基板と半導体基板を設置する電極の間に封入するガス圧力をメインエッチング条件での所定の圧力よりも低い圧力に設定して処理するステップを導入し、その時間を半導体基板温度に従って制御することを特徴とする半導体装置の製造方法。
または、エッチング開始から半導体基板温度が一定値に飽和するまでの時間のガス条件をメインエッチングの条件よりも低C/F比のガスにて行うことを特徴とする半導体装置の製造方法。
または、エッチング開始から半導体基板温度が一定値に飽和するまでの時間のガス条件をメインエッチングの条件よりも低流量のCxFyガスにて行うことを特徴とする半導体装置の製造方法。
または、プラズマ中のラジカル量を計測する手段を有し、前記ラジカル量の変動に従って、プラズマ着火から半導体基板にバイアス電力を印加するまでの時間を制御することを特徴とする半導体装置の製造方法。
または、プラズマ中のラジカル量を計測する手段を有し、前記ラジカル量の変動に従って、エッチング開始から半導体基板温度が一定値に飽和するまでの時間のガス条件を変更することを特徴とする半導体装置の製造方法。
または、エッチング初期のウエハバイアス電力をメインエッチングの条件よりも大きく設定することを特徴とする半導体装置の製造方法。
[実施例5]
本実施例では、ウエハと電極の間に導入する裏面ヘリウム圧力をプロセス中に切り替えてプロセス性能を向上させるエッチング方法について説明する。対象となるパターン構造は下地エッチストップ膜が存在する構造であれば何でも良い。本実施例では、高アスペクト比コンタクト加工を例に説明するが、Low−k膜を用いたダマシン構造におけるVia加工に適用しても効果的であることは言うまでもない。図4に示したように裏面ヘリウムの圧力とウエハ温度には相関がある。特にバイアス電力が高いエッチングプロセスでは、冷媒の温度を変えてもウエハ表面温度を変化させるには時間がかかってしまう。それに対し、上記裏面ヘリウム圧力の制御は熱伝導を大きく律速するため、高速なウエハ表面温度の変更に対し非常に有効である。
対象となる膜構造はArFレジスト/BARC/TEOS/Siである。まず、BARC加工の後、メインエッチング条件にて処理を行う。メインエッチングのガス条件は、Arを500ml/min、Cを30ml/min、Oを34ml/min、COを200ml/minとし、処理圧力は2Paに設定した。プラズマ発生用高周波電力は本条件では400Wで、ウエハバイアス電力は1500Wである。この場合、マスクであるArFレジストのエッチングダメージを抑制するため、裏面圧力を1.5kPaとした。この条件でTEOSをエッチングし、残膜が50nmとなったところで、裏面圧力を1.5kPaから所定の圧力まで低下させてオーバーエッチングを行った。一つの条件は1.0kPa、もう一つは0.7kPaである。本実施例は図11に示す電極構造にて評価を行った。本電極は、ヘリウムガスが流れるガス配管303、ヘリウム用ガス流量計301、裏面ヘリウム圧力を制御するために用いる裏面圧力制御用バルブ302、そのバルブを駆動するために必要な制御用パソコン127からのバルブ開閉制御信号304を伝送するための伝送経路を備えている。図示しない圧力計によって配管内の圧力を測定しており、上記のようにあるエッチング時間後に裏面圧力を低下させる場合には、バルブ開閉制御信号304に従って裏面圧力制御用バルブ302を開ける。裏面ヘリウム圧力は瞬時に低下するが、圧力計の値と設定値を比較し、設定値よりも圧力が低下した場合にはバルブ開閉制御信号304により裏面圧力制御バルブを閉じて圧力が設定値になるように、ヘリウム用ガス流量計301を用いて圧力制御を行う仕組みとなっている。本実施例の条件下では、裏面ヘリウム圧力の切替にかかった時間は1.5secであった。また、裏面ヘリウム圧力を1.5kPaから1.0kPaに変えることによってウエハ表面温度は12℃上昇し、1.5kPaから0.7kPaまで変えることによってウエハ表面温度は23℃上昇した。図12はホールエッチング形状を示す走査電子顕微鏡写真である。図12(a)は裏面ヘリウム圧力を変更しない場合、図12(b)はオーバーエッチング時に裏面ヘリウム圧力を1.0kPaに変更した場合、図12(c)はオーバーエッチング時に裏面ヘリウム圧力を0.7kPaに変更した場合を示す。実験の結果、裏面ヘリウム圧力を変更しない場合は下地Si膜が突き抜けてしまったのに対し、オーバーエッチング時に裏面ヘリウムを低下させた場合、下地選択比が向上して突き抜けが抑制された。しかしながら、裏面ヘリウム圧力を0.7kPaまで低下させた場合にはレジストファセット部にダメージが発生してしまった。本実験では裏面ヘリウム圧力を1.5kPaから1.0kPaに変更した場合でレジストダメージと下地選択比向上の両立が可能となった。これは、ウエハ表面温度が上昇したことによりレジスト表面反応が化学的もしくは物理的に進行したためと考えられる。一方、ウエハ表面温度が上昇することによって、デポの付着係数が実効的に低減してデポがホール内部に輸送され、下地選択比が向上できたものと考える。従って、裏面ヘリウム圧力はレジストダメージと下地選択比向上の両立ができる最適な値に設定する必要があることはいうまでもない。
ウエハにバイアス電力を印加してからの時間とウエハ表面温度の関係を示す図 レジスト上に堆積したCFポリマーの厚さによるレジストダメージの概念図 プラズマ電力、バイアス電力、裏面ヘリウム圧力に着目したエッチングシーケンス図 裏面ヘリウム圧力とウエハ表面温度の関係を示す図 各種シーケンスにおけるトレンチ及びホールのエッチング形状を示す走査型電子顕微鏡写真 エッチング定常状態でのCF堆積膜厚とフロロカーボンガスのC/F比の関係を示す図 エッチング開始時の低堆積ステップ導入有無によるトレンチパターンエッチング形状を示す走査型電子顕微鏡写真 放電開始からの時間と発光強度比(C2/O比)の関係を示す図 本発明の実施例2を実現するためのエッチング装置の概略図 本発明の実施例3を実現するためのエッチングシステムの概略図 本発明の実施例5を実現するための電極の概略図 本発明の実施例5における裏面ヘリウム圧力制御の有無によるホールエッチング形状を示す走査型電子顕微鏡写真 本発明の実施例1における放射温度計を誘電体部に設置する場合の概略図 本発明の実施例1における放射温度計を用いてシリコン円板の裏面からウエハ表面温度をモニタする場合の概略図 本発明の実施例3におけるヒータを用いた予備加熱の概略図 本発明の実施例3におけるランプを用いた予備加熱の概略図
符号の説明
1 フロロカーボン堆積膜
2 レジスト
3 トレンチパターン
4 密ホールパターン
5 ピッティング
6 ストライエーション
101 真空容器
102 空心コイル
103 ガス導入管
104 同軸線路
105 整合器
106 450MHz電源
107 13.56MHz電源
108 下部電極
109 被加工試料
110 ガス流量計
111 メインバルブ
112 コンダクタンスバルブ
113 アース電位導体板
114 誘電体
115 円板状導体板
116 シリコン円板
117 静電チャック部
118 フォーカスリング
119 ゲートバルブ
120 整合器
121 高周波バイアス電源
122 光ファイバー
123 モノクロメータ
124 光電子増倍管
125 計測用パソコン
126 データベース用パソコン
127 制御用パソコン
128 放射温度計
201 ロードロック室
202 バッファ室
203 真空搬送用ロボットのアーム
204 エッチングチャンバ
205 アンロードロック室
206 ウエハ
301 ヘリウム用ガス流量計
302 裏面圧力制御用バルブ
303 ガス配管
304 バルブ開閉制御信号
401 細管
402 石英ロッド
403 ヒータ
404 ランプ
405 パンチメタル

Claims (6)

  1. 真空排気手段により真空排気されている真空容器と、前記真空容器にエッチングガスを導入するためのガス導入手段と、被加工試料設置手段と、前記真空容器内に高周波電力を導入する電力導入手段とを有し、前記ガス導入手段により前記真空容器内に導入されたガスを前記電力導入手段により導入される高周波電力でプラズマ化し、該プラズマにより前記被加工試料の表面処理を行うドライエッチング装置において、
    プラズマ着火を検出する手段及びプラズマ中のラジカル量を計測する手段と、前記被加工試料にバイアス電力を印加する手段と、該バイアス電力印加の開始時間を制御する手段とを有し、
    前記被加工試料の表面処理を開始する際に、プラズマ着火から前記バイアス電力印加を開始するまでの時間を、前記ラジカル量に応じて制御するとともに、
    前記ガス導入手段は、第1のフロロカーボンガスを導入する第1のガス導入手段と、該第1のフロロカーボンガスよりもC/F比の低い第2のフロロカーボンガスを導入する第2のガス導入手段と、前記第1のガス導入手段と第2のガス導入手段とを切替える手段とを有し、
    被加工試料の温度がエッチング開始から一定値に達するまでの時間は、前記第2のガス導入手段によりエッチングガスを真空容器内に導入し、前記被加工試料の温度が一定値に達した後は、前記第1のガス導入手段に切替えてエッチングガスを供給することを特徴とするドライエッチング装置。
  2. 請求項1記載のドライエッチング装置において、
    前記第2のガス導入手段から第1のガス導入手段への切替えのタイミングは、更にプラズマ中のラジカル量に応じて制御することを特徴とするドライエッチング装置
  3. 請求項1ないし2のいずれか1項に記載のドライエッチング装置において、
    前記被加工試料を真空容器内に搬入した後に所定の処理を行う前に、前記被加工試料の予備加熱を行う機構を有することを特徴とするドライエッチング装置。
  4. 請求項1ないし3のいずれか1項に記載のドライエッチング装置において、
    被処理基板を加熱できる光源を備えることを特徴とするドライエッチング装置
  5. 請求項1ないし4のいずれか1項に記載のドライエッチング装置において、
    被処理基板温度をモニタする手段として、該被処理基板と対向する位置に非接触式温度計を有し、プラズマ中のラジカル量及び被処理基板温度に応じて、被処理基板と被処理基板を設置する電極の間に封入するガス圧力及びその時間を制御することを特徴とするドライエッチング装置
  6. 請求項5記載のドライエッチング装置において、非接触式温度計を被処理基板と対向する位置に設置されたガス導入用平板の裏面に設けることを特徴とするドライエッチング装置
JP2005030682A 2004-06-23 2005-02-07 ドライエッチング装置 Expired - Fee Related JP4723871B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2005030682A JP4723871B2 (ja) 2004-06-23 2005-02-07 ドライエッチング装置
TW094105877A TWI263278B (en) 2004-06-23 2005-02-25 Dry-etching method and apparatus
KR1020050016386A KR101021665B1 (ko) 2004-06-23 2005-02-28 드라이에칭방법 및 그 장치
US11/067,700 US20050284571A1 (en) 2004-06-23 2005-03-01 Dry-etching method and apparatus
US12/400,697 US20090181545A1 (en) 2004-06-23 2009-03-09 Dry-etching method and apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004184402 2004-06-23
JP2004184402 2004-06-23
JP2005030682A JP4723871B2 (ja) 2004-06-23 2005-02-07 ドライエッチング装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010247299A Division JP5411105B2 (ja) 2004-06-23 2010-11-04 ドライエッチング装置

Publications (2)

Publication Number Publication Date
JP2006041470A JP2006041470A (ja) 2006-02-09
JP4723871B2 true JP4723871B2 (ja) 2011-07-13

Family

ID=35504324

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005030682A Expired - Fee Related JP4723871B2 (ja) 2004-06-23 2005-02-07 ドライエッチング装置

Country Status (4)

Country Link
US (2) US20050284571A1 (ja)
JP (1) JP4723871B2 (ja)
KR (1) KR101021665B1 (ja)
TW (1) TWI263278B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791558B (zh) * 2017-07-27 2023-02-11 美商應用材料股份有限公司 用於半導體基板處理室的溫度控制的方法、非暫時性機器可讀儲存媒體以及系統

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4694249B2 (ja) * 2005-04-20 2011-06-08 株式会社日立ハイテクノロジーズ 真空処理装置及び試料の真空処理方法
JP2007116031A (ja) * 2005-10-24 2007-05-10 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
JP2007214171A (ja) * 2006-02-07 2007-08-23 Hitachi High-Technologies Corp エッチング処理方法
JP4865373B2 (ja) * 2006-03-17 2012-02-01 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP4922718B2 (ja) * 2006-10-04 2012-04-25 株式会社日立ハイテクノロジーズ 絶縁膜ドライエッチング方法
TWI354382B (en) * 2007-06-01 2011-12-11 Huga Optotech Inc Semiconductor substrate with electromagnetic-wave-
JP5049216B2 (ja) * 2008-07-14 2012-10-17 株式会社日立製作所 データ管理方法及びシステム、並びにデータ記憶システム
US7637269B1 (en) * 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
JP5367092B2 (ja) * 2010-06-04 2013-12-11 パナソニック株式会社 薄膜トランジスタ基板の製造方法
JP5689283B2 (ja) * 2010-11-02 2015-03-25 東京エレクトロン株式会社 基板処理方法及びその方法を実行するプログラムを記憶する記憶媒体
JP6037914B2 (ja) * 2013-03-29 2016-12-07 富士フイルム株式会社 保護膜のエッチング方法およびテンプレートの製造方法
JP6200849B2 (ja) * 2014-04-25 2017-09-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびドライエッチング方法
KR102553253B1 (ko) 2016-11-10 2023-07-06 삼성전자주식회사 펄스 플라즈마 분석 장치 및 그 분석 방법
CN113658891A (zh) * 2021-08-19 2021-11-16 上海稷以科技有限公司 一种晶圆加工装置
US20230094212A1 (en) * 2021-09-30 2023-03-30 Tokyo Electron Limited Plasma etch process for fabricating high aspect ratio (har) features

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999011103A1 (en) * 1997-08-22 1999-03-04 Tokyo Electron Limited Method for controlling plasma processor

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2008A (en) * 1841-03-18 Gas-lamp eok conducting gas pkom ah elevated buhner to one below it
US2005A (en) * 1841-03-16 Improvement in the manner of constructing molds for casting butt-hinges
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US2007A (en) * 1841-03-16 Improvement in the mode of harvesting grain
US6047713A (en) * 1994-02-03 2000-04-11 Applied Materials, Inc. Method for cleaning a throttle valve
US5738756A (en) * 1995-06-30 1998-04-14 Lam Research Corporation Method and apparatus for detecting optimal endpoints in plasma etch processes
JP3582163B2 (ja) * 1995-08-18 2004-10-27 株式会社日立製作所 プラズマ処理方法
US7025831B1 (en) * 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
US5673730A (en) * 1996-01-24 1997-10-07 Micron Technology, Inc. Form tooling and method of forming semiconductor package leads
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
US6790375B1 (en) * 1998-09-30 2004-09-14 Lam Research Corporation Dechucking method and apparatus for workpieces in vacuum processors
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6548414B2 (en) * 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP4477750B2 (ja) * 2000-06-26 2010-06-09 東京エレクトロン株式会社 エッチング方法
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP2002076298A (ja) * 2000-08-23 2002-03-15 Matsushita Electric Ind Co Ltd 半導体記憶装置およびその製造方法
AU2002222631A1 (en) * 2000-12-21 2002-07-01 Tokyo Electron Limited Etching method for insulating film
US6599437B2 (en) * 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
US6780759B2 (en) * 2001-05-09 2004-08-24 Silicon Genesis Corporation Method for multi-frequency bonding
US6787475B2 (en) * 2001-09-06 2004-09-07 Zhuxu Wang Flash step preparatory to dielectric etch
JP3739325B2 (ja) * 2001-09-20 2006-01-25 株式会社日立製作所 有機絶縁膜のエッチング方法
JP3822101B2 (ja) * 2001-12-26 2006-09-13 株式会社ルネサステクノロジ 感放射線組成物及びパタン形成方法及び半導体装置の製造方法
JP2003207896A (ja) * 2002-01-16 2003-07-25 Fuji Photo Film Co Ltd ポジ型レジスト組成物
JP2003229411A (ja) * 2002-02-01 2003-08-15 Toshiba Corp 薄膜トランジスタの製造方法
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6700090B2 (en) * 2002-04-26 2004-03-02 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
KR100457844B1 (ko) * 2002-08-27 2004-11-18 삼성전자주식회사 반도체 장치의 식각 방법
JP4538209B2 (ja) * 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US20050217799A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Wafer heater assembly
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999011103A1 (en) * 1997-08-22 1999-03-04 Tokyo Electron Limited Method for controlling plasma processor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791558B (zh) * 2017-07-27 2023-02-11 美商應用材料股份有限公司 用於半導體基板處理室的溫度控制的方法、非暫時性機器可讀儲存媒體以及系統

Also Published As

Publication number Publication date
TWI263278B (en) 2006-10-01
TW200601451A (en) 2006-01-01
JP2006041470A (ja) 2006-02-09
KR20060043218A (ko) 2006-05-15
KR101021665B1 (ko) 2011-03-17
US20050284571A1 (en) 2005-12-29
US20090181545A1 (en) 2009-07-16

Similar Documents

Publication Publication Date Title
JP4723871B2 (ja) ドライエッチング装置
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
US6617257B2 (en) Method of plasma etching organic antireflective coating
US8906810B2 (en) Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US20090191711A1 (en) Hardmask open process with enhanced cd space shrink and reduction
US8668835B1 (en) Method of etching self-aligned vias and trenches in a multi-layer film stack
US7637269B1 (en) Low damage method for ashing a substrate using CO2/CO-based process
WO2006073622A2 (en) Low-pressure removal of photoresist and etch residue
US7892986B2 (en) Ashing method and apparatus therefor
JP2011049360A (ja) プラズマエッチング方法
JP4558296B2 (ja) プラズマアッシング方法
JP2015144158A (ja) ドライエッチング方法
JP4922718B2 (ja) 絶縁膜ドライエッチング方法
KR100794693B1 (ko) 에칭 처리방법
JP4827567B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP5411105B2 (ja) ドライエッチング装置
JPH0729879A (ja) 半導体装置の製造方法
US20090156012A1 (en) Method for fabricating low k dielectric dual damascene structures
US6153849A (en) Method and apparatus for preventing etch rate drop after machine idle in plasma etch chamber
US20080203056A1 (en) Methods for etching high aspect ratio features
US20080237183A1 (en) Method of etching an organic low-k dielectric material
US20070218699A1 (en) Plasma etching method and computer-readable storage medium
JP7467708B2 (ja) 基板処理装置
JP2003234328A (ja) エッチング方法
JP2684868B2 (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071001

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080401

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100907

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101104

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110329

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110408

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140415

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees