JP4657458B2 - 低容量の誘電体層をエッチングするための技術 - Google Patents

低容量の誘電体層をエッチングするための技術 Download PDF

Info

Publication number
JP4657458B2
JP4657458B2 JP2000616058A JP2000616058A JP4657458B2 JP 4657458 B2 JP4657458 B2 JP 4657458B2 JP 2000616058 A JP2000616058 A JP 2000616058A JP 2000616058 A JP2000616058 A JP 2000616058A JP 4657458 B2 JP4657458 B2 JP 4657458B2
Authority
JP
Japan
Prior art keywords
etching
flow rate
low
hard mask
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000616058A
Other languages
English (en)
Other versions
JP2002543613A5 (ja
JP2002543613A (ja
Inventor
モーレイ・イアン・ジェイ.
エリングボエ・スーザン
フランナー・ジャネット・エム.
ジャノウィアク・クリスティーン・エム.
ラング・ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2002543613A publication Critical patent/JP2002543613A/ja
Publication of JP2002543613A5 publication Critical patent/JP2002543613A5/ja
Application granted granted Critical
Publication of JP4657458B2 publication Critical patent/JP4657458B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体集積回路(IC)の製造に関する。本発明は、特に、ICの製造中において、低容量の誘電体層を含むICの積層体をエッチングするための改良技術に関する。
【0002】
【従来の技術】
特定の半導体集積回路を製造するにあたっては、形成されるデバイスの容量を下げ、その電気性能を向上させるために、誘電体層の材料として低誘電率の(低K)材料を利用する場合がある。一般に、どんな誘電体層であっても、層を貫く金属接続を形成するためには、ビアまたはトレンチをエッチングする必要がある。以下では、低容量の誘電体層を貫いてビア/トレンチを形成するためのプロセスを説明する。
【0003】
議論を容易にするため、図1に、フォトレジスト層102と、ハードマスク層104と、低容量の誘電体層106と、エッチ止め層108とを備えた代表的な積層体100を示す。エッチ止め層108は、例えば、デュアルダマシンプロセス用のエッチ止め層で良く、TiN、SiN、TEOS等々の適切なエッチ止め層からなる。低容量の誘電体層106は、ダウ・ケミカル社によるSILK、アライド・シグナル社によるFlare、ダウ・ケミカル社によるBCB、ノベラス社によるParylene等の低Kの有機誘電材料からなる層である。また、エッチング化学剤によって、フォトレジスト等の有機薄膜で代表される非低K誘電材料をエッチングしても良い。
【0004】
低容量の誘電体層106の上には、ハードマスク層104が示されている。このハードマスク層104は、一般に、SiN、SiON(酸窒化シリコン)、またはTEOS等の材料から形成される。ハードマスク層104は、低容量の誘電体層106にビア/トレンチをエッチングするために利用されるマスク層である。ハードマスク層が利用されるのは、低Kの有機誘電材料からなる低容量誘電体層106をエッチングする場合に、フォトレジスト層がマスク材料として無効であるためである。これは、フォトレジスト材料と低Kの有機誘電材料とが、類似の化学的特性を有する、類似のエッチング化学剤を必要とする、および/または類似のエッチング速度を有する傾向にあることが原因である。ハードマスク層104にハードマスクのパターンを形成するために、フォトレジスト層102が提供される。フォトレジスト層102は、例えば、真空紫外線材料または従来のフォトレジスト材料からなる層で良い。
【0005】
図2では、従来のフォトレジストパターン形成プロセスを使用して、フォトレジスト層102のパターン形成が行われる。フォトレジスト層102のパターン形成によって、開口部202が形成され、続くハードマスクのエッチングプロセスでは、この開口部202を通してハードマスク層104のエッチングが行われる。
【0006】
図3では、ハードマスクのエッチングプロセスが実行され、開口部202がハードマスク層104まで拡張される。一例として、ハードマスク層104がTEOS層である場合は、Ar/C48/C26/O2または従来のTEOSエッチャント等の適切なTEOSエッチング化学剤を使用し、プラズマ処理リアクタ内でハードマスクのエッチングプロセスを実行して良い。
【0007】
図4では、低容量の誘電体層106がエッチングされる。低容量の誘電体層106のエッチングは、プラズマ処理リアクタ内で実行されるのが通常である。低容量の誘電体層106は、一般に、酸素含有ガス(O2、CO、CO2等々)を使用してエッチングされる。低容量の誘電材料のエッチングに利用されるエッチャントガスには、一般に、N2等の希釈剤が添加される。後ほど簡単に説明する理由から、エッチング化学剤には、フッ化炭素ガス等の不活性化剤も添加されるのが通常である。
【0008】
周知のように、低容量誘電体層106のエッチングに利用される酸素種は、等方向にエッチングを行う傾向にあるので、開口部202の側壁は、望ましい垂直な側壁輪郭を維持できずに湾曲してしまう。図5は、低容量誘電体層106を等方性エッチングする際に形成される湾曲した側壁を示した図である。ウエハ全体から見たエッチングのムラを補償するために、オーバエッチングが必要な場合は、この湾曲の現象がさらに悪化する。この湾曲の現象は、輪郭制御の悪化をもたらす。例えば、角度が90度を超える内曲輪郭が形成され、その結果、金属充填等の後続のプロセスにも問題が生じる。
【0009】
輪郭制御を維持し、上記した側壁が湾曲する問題を回避するために、従来技術では、一般に、酸素含有ガスに加えてC48、C2HF5、CH22等のフッ化炭素を不活性化剤として利用する。フッ化炭素不活性化剤を添加すると、側壁の垂直輪郭の維持が促進されるものの、先ずはフォトレジストの、続いてハードマスクのファセット形成が行われるので、低容量の誘電体層106のエッチングが進行するにつれて、開口部202が拡大される。
【0010】
詳しく言うと、低容量の誘電体層106のエッチングに利用される酸素種は、その上にあるフォトレジスト層のフォトレジスト材料をも過度に攻撃する。その結果、低容量誘電体層106のエッチングが進行するのに伴って、フォトレジスト層102の厚さが減少する。酸素種がフォトレジスト材料を等方向に攻撃するので、多くの場合において、フォトレジストマスクはビア/トレンチの領域402,404まで後退する。フォトレジスト材料が酸素種によって削られ、図4に示すように領域402,404まで後退すると、ハードマスク層104のTEOSハードマスク材料が、不活性化の目的で添加されたフッ化炭素エッチャントに曝される。フッ化炭素はTEOSのエッチャントの1つであるので、ハードマスク材料の露出領域408,410もやはり時間の経過とともにエッチングされ、ハードマスク層104の開口部が拡大される。ハードマスク層104の開口部が拡大されると、低容量の誘電体層106にエッチングされるビア/トレンチも拡大される。この拡大によって、ビア/トレンチの微小寸法が失われるまたは損なわれる。その結果、図6に示すように、目標より断面の大きいビア/トレンチが形成される。ここで、幅(w)は、目標とする断面を示すものとする。
【0011】
【発明が解決しようとする課題】
フッ化炭素添加物の使用によって、低容量の誘電体層のエッチングのプロセス窓も狭められる。エッチング化学剤に添加するフッ化炭素が多すぎると、低容量誘電体層のエッチングが急激に減速し、最終的には停止するに至る。添加するフッ化炭素が少なすぎると、不活性化の度合いが不十分で、側壁を所望の垂直輪郭に維持することができなくなる。
【0012】
以上からわかるように、輪郭制御を維持し、得られるビア/トレンチの微小寸法を保ち、高いエッチング速度を維持しながら低容量の誘電体層をエッチングする改良技術が必要とされている。
【0013】
【課題を解決するための手段】
本発明は、プラズマ処理チャンバ内で低容量の誘電体層をエッチングするための方法に関する。この方法では、N2と、O2と、炭化水素とを含んだエッチング化学剤をプラズマ処理チャンバ内に流し込む。本発明によれば、高いエッチング速度を得るだけでなく、輪郭制御を維持し、且つ低容量の層にエッチングされる開口部(例えばビア/トレンチ)の微小寸法を保つことも可能である。
【0014】
一実施形態において、本発明は、プラズマ処理チャンバ内で低容量の誘電体層をエッチングするための方法に関する。低容量の誘電体層は、基板上においてハードマスク層の下に設けられている。この方法は、N2と、O2と、炭化水素をと含んだエッチング化学剤をプラズマ処理チャンバ内に流し込む工程を備える。次いで、エッチング化学剤からプラズマが生成される。この方法は、また、プラズマを使用し、ハードマスク層の開口部を通して低容量の誘電体層をエッチングする工程を備える。
【0015】
別の一実施形態に従うと、エッチング化学剤は、さらに、フッ化炭素含有ガスを含む。フッ化炭素含有ガスは、例えば、シリコン含有の低K誘電体層をエッチングする際に効果的である。さらに別の一実施形態において、プラズマ処理チャンバは、誘導型のプラズマ処理チャンバである。さらに別の一実施形態において、プラズマ処理チャンバは、静電型のプラズマ処理チャンバである。
【0016】
添付図面との関連で行う以下の本発明の詳細な説明から、本発明の上述したおよびその他の特徴が、さらに詳しく示される。
【0017】
添付図面において、限定的ではなく例示的に本発明を示す。なお、この添付図面は、図を単純化するために同じ縮尺で描かれていない。また、同様の構成要素には同様の番号体型が与えられている。
【0018】
【発明の実施の形態】
次に、添付図面に例示された幾つかの好ましい実施形態に関連して、本発明の詳しい説明を行う。以下の説明では、本発明の徹底的な理解を促すために、多くの項目を特定している。しかしながら、当業者には明らかなように、本発明は、これらの項目の一部または全てを特定しなくても実施することが可能である。そのほか、本発明が不必要に不明瞭となるのを避けるため、周知のプロセス動作および/または構造の詳細な説明は省略した。
【0019】
本発明の一態様にしたがって、低容量の有機誘電材料からなる低容量の誘電体層を、炭素水素を含むエッチング化学剤を使用してプラズマリアクタ内でエッチングする。一実施形態において、エッチング化学剤はN2、O2、およびCxyである。例えばシリコン含有の低容量誘電体層をエッチングする等の特定の用途で使用されるエッチング化学剤には、選択的に、少量のフッ化炭素含有ガスが含まれても良い。
【0020】
本発明によるH2/N2化学剤の幾つかの実施形態が、1998年8月17日付けの米国特許出願第09/135,419号「基板上の低容量の誘電体層をエッチングするための改良技術」にさらに記載されており、本文献を引例として本明細書に組み込むものとする。N2/H2化学剤を使用すると、優れた垂直輪郭および微小寸法(CD)制御を実現することができるが、エッチング速度は減速する。さらに、N2/H2化学剤を使用するにあたって、ウエハ全体から見たエッチングのムラを補償するために、オーバエッチングが必要とされる場合は、エッチングされた開口部に僅かに湾曲の現象が生じる。本発明によるN2/O2/Cxy含有のエッチング化学剤を使用すると、例えば2000〜8000Å/分、好ましくは5000〜8000Å/分の高いエッチング速度で、優れた垂直輪郭および微小寸法制御という望ましい利点を達成できるとともに、例えば側壁の湾曲、微小寸法および輪郭制御の欠落、エッチング速度の低下等の、別のエッチング化学剤を使用したエッチングで見られる望ましくない特性を回避することができる。
【0021】
本発明によるN2/O2/Cxy含有のエッチング化学剤は、図1の積層体に含まれる低容量の誘電体層に類似した低容量の誘電体層をエッチングする際に使用しても良い。例えば、図1〜4の部分的なプロセスフローに示されるように、フォトレジスト層と、ハードマスク層と、低容量の誘電体層と、エッチ止め層とを備えた積層体に対してエッチングプロセスが開始される。先ず、従来のフォトレジストパターン形成プロセスによって、フォトレジスト層がパターン形成されて開口部が形成され、続いて、ハードマスクエッチングプロセスによって、その開口部がハードマスク層内まで延長される。次いで、本発明によるN2/O2/Cxy含有のエッチング化学剤によって、低容量の誘電体層がエッチングされる。
【0022】
低容量の誘電体層のエッチングで利用される酸素種は、上層であるフォトレジスト層をも攻撃する。その結果、低容量の誘電体層のエッチングが進行するにつれて、フォトレジスト層102の厚さが減少する。酸素種はフォトレジスト材料を等方向に攻撃するので、ビア/トレンチの領域ではフォトレジストマスクが後退し、エッチングがエッチ止め層に到達した際には、フォトレジストマスクが完全に除去されることもある。この現象は、エッチング中にフォトレジストの除去が要求される用途において望ましい。
【0023】
本発明によるN2/O2/Cxy含有のエッチング化学剤では、不活性化剤としてC24またはCH4等の炭素水素が利用される。本発明によるこのエッチング化学剤に含まれる炭素水素成分は、従来技術による方法で使用されるフッ化炭素に取って代わるものであり、エッチングされた開口部の側壁を不活性化させることによって、低容量の誘電体層のエッチングに含まれる等方性の要素が最小限に抑えられる。炭化水素の使用によって、ハードマスクエッチングの化学的な要素が排除され、物理的スパッタリングの要素のみが維持される。フォトレジストの後退に加え、フォトレジストおよび続くハードマスクのファセット形成が行われるものの、低容量の誘電体層のエッチングに使用されるガス化学剤にフッ素が含まれないことから、ファセット形成の効果は大幅に低減される。したがって、フォトレジストおよびハードマスクのファセット形成が比較的少ないということは、エッチング化学剤の炭化水素成分によって適度な不活性化がもたらされ、微小寸法および側壁の垂直輪郭が望ましい状態に保たれることを意味する。結果として、エッチングされた開口部の断面は拡大されず、微小寸法の制御が実現される。
【0024】
図7は、本発明によるN2/O2/Cxy含有のエッチング化学剤を使用して低容量の誘電体層106にエッチングされた代表的なビア/トレンチ702を示した図である。フォトレジスト層の完全除去およびハードマスク104の部分的侵食にもかかわらず、エッチング化学剤の炭化水素成分による側壁704の不活性化によって、輪郭を垂直に保ち、望ましい微小寸法を達成することができる。
【0025】
本発明による低容量の誘電材料をエッチングする技術は、静電型のリアクタや、誘導型の低圧・高密度(例えば、>109イオン/cm3)のリアクタ等の、任意の適切なプラズマ処理リアクタで実施して良い。好ましい一実施形態では、ラム・リサーチ社から市販されているTCP(商標)9100PTXプラズマリアクタ等の誘導結合型プラズマ処理リアクタにおいて本発明を実施する。図8は、プラズマ処理チャンバ802を含むTCP(商標)9100PTXプラズマリアクタの概略図である。電極806の下方に設けられた誘電ウィンドウ804は、プラズマ処理チャンバ802内のプラズマを電極806に誘電結合させる仲立ちの誘電ウィンドウとして作用する。電極806はRF誘導ソースであり、図8の例ではコイルとして実現されている。電極806は、整合回路網(従来から知られており、簡略化のため図8には図示しない)を介してRFジェネレータ808によって通電される。RFジェネレータ808のRF周波数は、一実施形態では約13.56MHzであるが、他の適切なRF周波数を利用しても良い。
【0026】
チャンバ802内には、ガス分布板810を設けて良く、この分布板は、それ自体とウエハ812との間のRF誘発プラズマ領域に、ガス状のソース材料、例えばエッチャントソースガスを分布させるための複数の穴を含むことが好ましい。ガス状のソース材料は、また、チャンバ自体の壁に設けられたポートから放出されても良い。ウエハ812は、チャンバ802内に導入されてチャック814上に配置される。チャック814は、第2の電極として機能し、RFジェネレータ816によって(通常は整合回路網も介して)バイアスをかけられることが好ましい。RFジェネレータ816のRF周波数は、一実施形態では約4MHzであるが、他の適切なRF周波数を利用しても良い。ウエハ812は、従来の機械的なクランプ技術、または静電的なクランプ力を利用した技術によって、チャック814に固定されて良い。
【0027】
チャック814とウエハ812との間には、加圧状態のもとでヘリウム冷却ガスが導入される。このガスは、処理中に、ウエハの温度を正確に制御する伝熱媒体として作用することによって、均一で再現性のあるエッチング効果を保証するものである。プラズマエッチング中は、ポート818からガスを排気することによって、チャンバ802内の圧力を低く抑えることが好ましい。例えば、低K誘電体のエッチング中は、圧力を約1ミリトール〜約30ミリトールに維持することが好ましい。
【0028】
好ましい別の一実施形態では、ラム・リサーチ社から市販されている4520XLEプラズマリアクタ等の静電型のプラズマ処理リアクタにおいて本発明が実施される。図9は、プラズマ処理チャンバ902を含む4520XLEプラズマリアクタの概略図である。ギャップ駆動904は、トップ電極906の上方に配置される。ギャップ駆動904は、ウエハの搬送用に主として使用されるが、プロセスパラメータとして使用される場合もある。図9の例では、トップ電極906がシリコン電極として具体化されている。トップ電極906は、整合回路網(従来から知られており、簡略化のため図9には図示しない)を介してRFジェネレータ908によって通電される。RFジェネレータ908のRF周波数は、一実施形態では約27MHzであるが、他の適切なRF周波数を利用しても良い。
【0029】
チャンバ902内には、閉じ込めリング910を提供して良い。この閉じ込めリングは、例えばエッチング化学剤等のガス状ソース材料内で生成されたプラズマを、トップ電極906とウエハ912とに挟まれたRF誘発プラズマ領域内に閉じ込めることが好ましい。ガスは、トップ電極906を通ってチャンバ902に入る。ガス状ソース材料は、チャンバ自体の壁に設けられたポートから放出されても良いし、静電チャック914の外周に沿って放出されても良い。ウエハ912は、チャンバ902内に導入されてチャック914上に配置される。チャック914は、第2の電極として機能し、RFジェネレータ916によって(通常は整合回路網も介して)バイアスをかけられることが好ましい。RFジェネレータ916のRF周波数は、一実施形態では約2MHzであるが、他の適切なRF周波数を利用しても良い。ウエハ912は、従来の機械的なクランプ技術、または静電的なクランプ力を利用した技術によって、チャック914に固定されて良い。プラズマエッチング中は、チャンバ901内の圧力が低く抑えられるのが通常であり、例えば低K誘電体のエッチング中は、約10ミリトールから約300ミリトールに抑えられる。
【0030】
図10は、本発明による低容量誘電体のエッチングプロセス1000を、本発明の一実施形態にしたがって示したフローチャートである。動作1002では、従来のフォトレジストのパターン形成プロセスを使用して、フォトレジストマスクのパターン形成が行われる。動作1004では、予め形成されたフォトレジストマスクを使用して、ハードマスク層からハードマスクのパターンが形成される。すなわち、動作1004では、低容量の誘電体層内に形成する予定の開口部に対応する開口部がハードマスクにエッチングされる。低容量の誘電体層の開口部という用語は、低容量の誘電体層にエッチングされる特徴を指しており、トレンチおよびビアをともに含む。
【0031】
動作1006、1008、1010では、低容量の誘電体層がエッチングされる。低容量誘電体層のエッチングは、独立したプラズマ処理チャンバ内で行われても良いし、さらに好ましくは、ハードマスクのエッチングで利用されたものと同じプラズマ処理チャンバ内で行われても良い。動作1006では、N2/O2/Cxy含有のエッチング化学剤がプラズマ処理チャンバ内に流し込まれる。N2/O2/Cxy含有のエッチング化学剤は、選択的にC26またはC48等のフッ化炭素を含んでも良い。これは、ベンゾシクロブテン(BCB)材料等のシリコン含有の低容量誘電体層をエッチングする際に望ましい。動作1008では、N2/O2/Cxy含有のエッチング化学剤からプラズマが生成される。動作1010では、N2/O2/Cxy含有のエッチング化学剤から生成されたプラズマが、低容量の誘電体層の低容量の誘電材料を、ハードマスクの開口部を通してエッチングすることが可能になる。動作1010において低容量の誘電体層がエッチングされると、低容量の誘電体のエッチングプロセス1000は終了する。しかしながら、ウエハ全体から見たエッチングのムラを補償するために、オーバエッチングの工程が利用される場合がほとんどである。したがって、エッチングされたウエハから集積回路を形成するために、従来の処理動作を利用しても良い。
【0032】
一例において、エッチングされるウエハは、上に低容量の誘電材料FLARE2.0を、その上に更にTEOSからなるハードマスク層を有した200mmのウエハである。低容量の誘電体層の厚さは約7,500Åであり、ハードマスク層の厚さは約2,000Åである。フォトレジストマスクは、真空紫外線材料からなるフォトレジストマスクであって良いが、任意のフォトレジスト材料を利用しても良い。エッチングされる開口部は、約0.3ミクロンの断面を有する。低容量の誘電体層のエッチングは、カリフォルニア州フリーモント市所在のラム・リサーチ社によるTCP(商標)9100PTXとして知られる高密度・低圧の誘導結合プラズマ処理リアクタ内で実施される。以下の例で提供されるパラメータが、寸法の異なる基板をエッチングするため、または特殊なプラズマリアクタの要請を満たすために、適当な値に縮小・倍化されても且つ/または変更されても良いことは、当業者の技術の範囲内であり、当業者にとって明白である。
【0033】
上述したTCP(商標)9100PTXプラズマ処理システムにおいて、プラズマ処理チャンバ内の圧力は約1ミリトール(mT)〜約30mTで良く、より好ましくは約5mT〜約20mTで良く、好ましくは約10mTで良い。トップ電極の電力は約700ワット〜約2,200ワットで良く、より好ましくは約1,200ワット〜約2,000ワットで良く、好ましくは約1,800ワットで良い。ボトム電極の電力は約50ワット〜約500ワットで良く、より好ましくは約100ワット〜約400ワットで良く、好ましくは約300ワットで良い。
【0034】
この例で使用されるTCP(商標)9100PTXプラズマ処理システムにおいて、N2の流量は、約25sccm〜約150sccmで良く、より好ましくは約50sccm〜約100sccmで良く、好ましくは約50sccmで良い。O2の流量は約5sccm〜約75sccmで良く、より好ましくは約10sccm〜約50sccmで良く、好ましくは約25sccmで良い。Cxyの流量は約1sccm〜約50sccmで良く、より好ましくは約5sccm〜約30sccmで良く、好ましくは約15sccmで良い。BCB等のシリコン含有の低容量誘電体層をエッチングする際には、N2/O2/Cxy含有のエッチング化学剤に、少量の(例えば、<5sccm)フッ化炭素含有ガスを添加しても良い。例えば、C26またはC48を添加して良い。
【0035】
低容量の誘電体層のエッチングは、カリフォルニア州フリーモント市所在のラム・リサーチ社から市販の4520XLE等の静電型プラズマ処理リアクタ内において実施することもできる。上述した4520XLEプラズマ処理システムにおいて、プラズマ処理チャンバ内の圧力は約10ミリトール(mT)〜約300mTで良く、より好ましくは約30mT〜約200mTで良く、好ましくは約100mTで良い。トップ電極の電力は約0ワット〜約2,000ワットで良く、より好ましくは約200ワット〜約800ワットで良く、好ましくは約500ワットで良い。ボトム電極の電力は約0ワット〜約2,000ワットで良く、より好ましくは約200ワット〜約800ワットで良く、好ましくは約500ワットで良い。
【0036】
4520XLEプラズマ処理システムにおいて、N2の流量は、約0sccm〜約1,000sccmで良く、より好ましくは約50sccm〜約600sccmで良く、好ましくは約500sccmで良い。O2の流量は約5sccm〜約500sccmで良く、より好ましくは約5sccm〜約50sccmで良く、好ましくは約18sccmで良い。Cxyの流量は約0sccm〜約500sccmで良く、より好ましくは約0sccm〜約50sccmで良く、好ましくは約18sccmで良い。BCB等のシリコン含有の低容量誘電体層をエッチングする際には、N2/O2/Cxy含有のエッチング化学剤に、少量の(例えば、<5sccm)フッ化炭素含有ガス、例えばC26またはC48を添加しても良い。
【0037】
総流量に占める割合で表されるN2の流量率は、約50%〜約95%で良く、代表的なエッチングプロセスでは約93.3%で良い。総流量に占める割合で表されるO2の流量率は、約2%〜約40%で良く、代表的なエッチングプロセスでは約3.3%で良い。総流量に占める割合で表されるCxyの流量率は、約2%〜約40%で良く、代表的なエッチングプロセスでは約3.3%で良い。上述したように、シリコン含有の低容量誘電体層(例えばBCB)をエッチングする際には、N2/O2/Cxy含有のエッチング化学剤にフッ化炭素含有ガスを添加しても良い。例えば、C26またはC48を添加して良く、この場合、総流量に占める割合で表されるCxyの流量率は例えば約0.05%で良い。
【0038】
エッチング化学剤に関し、酸素に対する炭化水素の含量を増加させると、酸素の流量を単に変化させる以上に、輪郭制御の改善に大きく寄与するとされている。Cxy:O2の比は、約1:99〜約2:1で良く、より好ましくは約2:3〜約3:2で良い。代表的なエッチングにおいては、エッチング化学剤混合物のCxy:O2の比が約3:2である場合に有利なエッチング効果が得られる。
【0039】
以上からわかるように、N2/O2/Cxy含有のエッチング化学剤を利用した本発明による低容量の誘電体層のエッチングは、側壁を有利に不活性化させるので、たとえ高いエッチング速度でエッチングする場合であっても、ほぼ垂直な輪郭を維持し、微小寸法を高レベルで制御することができる。N2/O2/Cxy含有の改良エッチング化学剤に含まれる炭化水素成分による側壁の不活性化によって、エッチングされた開口部をほぼ垂直な輪郭に維持すると同時に微小寸法を高レベルで制御することが可能になる。本発明によるエッチング化学剤に炭化水素を添加すると、酸素含有成分が有する等方性の特性が補償される。
【0040】
以上では、本発明をいくつかの好ましい実施形態の形で説明したが、本発明の範囲内で、種々の代替、置き換え、および等価物が可能である。また、本発明による方法および装置を実現する代替の方法が数多く存在することにも注意が必要である。したがって、添付した特許請求の範囲は、このような代替、置き換え、および等価物の全てを、本発明の真の趣旨および範囲内に含むものとして、解釈される。
【図面の簡単な説明】
【図1】 従来技術による代表的なIC積層体を示した図である。
【図2】 フォトレジスト層のパターン形成後における、従来技術による図1のIC積層体を示した図である。
【図3】 ハードマスク層のパターン形成後における、従来技術による図1のIC積層体を示した図である。
【図4】 低容量の誘電体層のエッチングの開始およびフォトレジストの後退を示した図である。
【図5】 低容量の誘電体層のエッチングに従来技術によるエッチング化学剤を利用すると生じるビア側壁の湾曲を示した図である。
【図6】 低容量の誘電体層のエッチングに従来技術によるエッチング化学剤を利用すると生じるビアの微小寸法の悪化を示した図である。
【図7】 本発明の一実施形態を使用して低容量の誘電体層にエッチングされる代表的なビアを示した図である。
【図8】 本発明を実施するのに適したプラズマリアクタの1つであるTCP(商標)9100PTXプラズマリアクタを示した概略図である。
【図9】 本発明を実施するのに適したプラズマリアクタの1つである4510XLEプラズマリアクタを示した概略図である。
【図10】 本発明による低容量の誘電体層のエッチングの動作を本発明の一実施形態にしたがって示したフローチャートである。
【符号の説明】
100…積層体
102…フォトレジスト層
104…ハードマスク層
106…低容量の誘電体層
108…エッチ止め層
202…開口部
402,404…ビア/トレンチの領域
408,410…ハードマスク材料の露出領域
702…ビア/トレンチ
704…側壁
802…プラズマ処理チャンバ
804…誘電ウィンドウ
806…電極
808…RFジェネレータ
810…ガス分布板
812…ウエハ
814…チャック
816…RFジェネレータ
818…ポート
901…プラズマ処理チャンバ
904…ギャップ駆動
906…トップ電極
908…RFジェネレータ
910…閉じ込めリング
912…ウエハ
914…チャック
916…RFジェネレータ

Claims (22)

  1. プラズマ処理チャンバにおいてエッチングを行うための方法であって、
    有機低k材料で形成されハードマスク層の下に配置された低容量誘電体層を上に備えた基板を準備する工程と、
    2と、O2と、炭化水素と、を含んだエッチング化学剤を、前記プラズマ処理チャンバ内に流し込む工程であって、前記N2の流量率は総流量の50%〜95%であり、前記O 2 の流量率は総流量の2%〜40%であり、前記炭化水素の流量率は総流量の2%〜40%である工程と、
    前記エッチング化学剤から、酸素種を備えたプラズマを生成する工程と、
    前記酸素種を利用し、前記ハードマスク層の開口部を通して前記低容量誘電体層をエッチングする工程と、
    を備える方法。
  2. 請求項1記載の方法であって、
    前記炭化水素はC24である方法。
  3. 請求項1または請求項2記載の方法であって、
    前記ハードマスク層は、TEOSと、SiNと、SiONと、からなる群より選択された材料で形成されている方法。
  4. 請求項1ないし請求項3のいずれかに記載の方法であって、
    前記プラズマ処理チャンバは低圧・高密度のプラズマ処理チャンバである方法。
  5. 請求項1ないし請求項4のいずれかに記載の方法であって、
    前記プラズマ処理チャンバは誘導結合型のプラズマ処理チャンバである方法。
  6. 請求項1ないし請求項5のいずれかに記載の方法であって、
    前記N2の流量率は総流量の93.3%である方法。
  7. 請求項6記載の方法であって、
    前記O2の流量率は総流量の3.3%である方法。
  8. 請求項7記載の方法であって、
    前記炭化水素の流量率は総流量の3.3%である方法。
  9. 請求項1ないし請求項8のいずれかに記載の方法であって、
    前記ハードマスク層はフォトレジスト層の下に配置されている方法。
  10. 請求項1ないし請求項9のいずれかに記載の方法であって、
    前記エッチング化学剤の前記炭化水素は、CH4ではない炭化水素からなる方法。
  11. プラズマ処理チャンバ内において、有機低k材料で形成された低容量誘電体層をエッチングするための方法であって、前記低容量誘電体層は基板上においてハードマスク層の下に配置され、前記方法は、
    前記ハードマスク層をエッチングし、前記ハードマスク層に開口部を形成する工程と、
    2とO2と炭化水素とを含んだエッチング化学剤を、前記プラズマ処理チャンバ内に流し込む工程であって、前記N2の流量率は総流量の50%〜95%であり、前記O 2 の流量率は総流量の2%〜40%であり、前記炭化水素の流量率は総流量の2%〜40%である工程と、
    前記エッチング化学剤からプラズマを生成する工程と、
    前記プラズマを使用し、前記ハードマスク層の前記開口部を通して前記低容量誘電体層をエッチングする工程であって、前記エッチング化学剤は、前記低容量誘電体層のエッチングの最中に前記開口部の側壁を不活性化させ、ほぼ垂直な輪郭を与える工程と、
    を備える方法。
  12. 請求項11記載の方法であって、
    前記ハードマスク層のエッチングおよび前記低容量誘電体層のエッチングは1つの処理チャンバ内で実施される方法。
  13. 請求項11または12記載の方法であって、
    前記低容量誘電体層は、有機材料で形成されている方法。
  14. プラズマ処理チャンバ内において、有機低k材料で形成された低容量誘電体層をエッチングするための方法であって、前記低容量誘電体層は基板上においてハードマスク層の下に配置され、前記ハードマスクはフォトレジストマスクの下に配置され、前記方法は、
    前記フォトレジストマスクに開口部をパターン形成する工程と、
    前記フォトレジストマスクの前記開口部を使用し、SiNと、SiONと、TEOSと、からなる群より選択された材料の前記ハードマスク層をパターン形成する工程と、
    2と、O2と、炭化水素と、を含んだエッチング化学剤を、前記プラズマ処理チャンバ内に流し込む工程であって、前記N2の流量率は総流量の50%〜95%であり、前記O 2 の流量率は総流量の2%〜40%であり、前記炭化水素の流量率は総流量の2%〜40%である工程と、
    前記エッチング化学剤からプラズマを生成する工程と、
    前記プラズマを使用し、前記ハードマスク層の前記開口部を通して前記低容量誘電体層をエッチングする工程と、
    を備える方法。
  15. 請求項14記載の方法であって、
    前記フォトレジストマスクはエッチングの最中に除去される方法。
  16. 請求項14または請求項15記載の方法であって、
    前記エッチング化学剤はさらにフッ化炭素を含む方法。
  17. 請求項14に記載の方法であって、
    前記フォトレジストマスクはエッチングに先立って除去される方法。
  18. 請求項14ないし請求項17のいずれかに記載の方法であって、
    前記プラズマ処理チャンバは、誘導結合型のプラズマ処理チャンバおよび静電型のプラズマ処理チャンバのいずれか一方である方法。
  19. 請求項14ないし請求項18のいずれかに記載の方法であって、
    前記炭化水素および前記O2は、2:1〜1:99の比率で前記エッチング化学剤に含まれる方法。
  20. プラズマ処理チャンバ内において、有機材料層をプラズマエッチングするための方法であって、
    ハードマスク層の下に配置された前記有機材料層を上に備えた基板を準備する工程であって、前記ハードマスク層は、開口部のパターンを有する、工程と、
    2と、O2と、炭化水素と、を含んだエッチング化学剤を、前記プラズマ処理チャンバ内に流し込む工程であって、前記N2の流量率は総流量の50%〜95%であり、前記O 2 の流量率は総流量の2%〜40%であり、前記炭化水素の流量率は総流量の2%〜40%である工程と、
    前記エッチング化学剤からプラズマを生成する工程と、
    前記プラズマを使用し、前記ハードマスク層の前記開口部のパターンを通して前記有機材料層をエッチングする工程と、を備え
    前記有機材料層は、低誘電率の誘電材料を含む、方法。
  21. 請求項20記載の方法であって、
    前記ハードマスク層は、TEOSと、SiNと、SiONと、からなる群より選択された材料で形成されている方法。
  22. 請求項20ないし請求項22のいずれかに記載の方法であって、
    前記ハードマスク層の前記開口部のパターンを通して前記有機材料層をエッチングする工程は、前記エッチング化学剤の前記O2が、前記有機材料層をエッチングすると共に、前記エッチング化学剤の前記炭化水素が、前記エッチングの最中に前記開口部の側壁を不活性化させることで、ほぼ垂直な輪郭を与えるエッチング工程である方法。
JP2000616058A 1999-05-05 2000-05-04 低容量の誘電体層をエッチングするための技術 Expired - Fee Related JP4657458B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US13264599P 1999-05-05 1999-05-05
US60/132,645 1999-06-30
US09/347,582 1999-06-30
US09/347,582 US6696366B1 (en) 1998-08-17 1999-06-30 Technique for etching a low capacitance dielectric layer
PCT/US2000/012356 WO2000067308A1 (en) 1999-05-05 2000-05-04 Techniques for etching a low capacitance dielectric layer

Publications (3)

Publication Number Publication Date
JP2002543613A JP2002543613A (ja) 2002-12-17
JP2002543613A5 JP2002543613A5 (ja) 2007-10-25
JP4657458B2 true JP4657458B2 (ja) 2011-03-23

Family

ID=26830592

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000616058A Expired - Fee Related JP4657458B2 (ja) 1999-05-05 2000-05-04 低容量の誘電体層をエッチングするための技術

Country Status (7)

Country Link
US (1) US6696366B1 (ja)
EP (1) EP1186014B1 (ja)
JP (1) JP4657458B2 (ja)
KR (1) KR100778259B1 (ja)
DE (1) DE60045375D1 (ja)
TW (1) TW468224B (ja)
WO (1) WO2000067308A1 (ja)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9904427D0 (en) 1999-02-26 1999-04-21 Trikon Holdings Ltd Method treating an insulating layer
JP3403373B2 (ja) 2000-05-26 2003-05-06 松下電器産業株式会社 有機膜のエッチング方法、半導体装置の製造方法及びパターンの形成方法
JP3403372B2 (ja) 2000-05-26 2003-05-06 松下電器産業株式会社 有機膜のエッチング方法、半導体装置の製造方法及びパターンの形成方法
JP3403374B2 (ja) 2000-05-26 2003-05-06 松下電器産業株式会社 有機膜のエッチング方法、半導体装置の製造方法及びパターンの形成方法
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6620733B2 (en) * 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
JP4431402B2 (ja) * 2002-04-08 2010-03-17 東京エレクトロン株式会社 プラズマエッチング方法
US20040084411A1 (en) * 2002-10-31 2004-05-06 Applied Materials, Inc. Method of etching a silicon-containing dielectric material
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
JP2005033027A (ja) * 2003-07-07 2005-02-03 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
US7320927B2 (en) * 2003-10-20 2008-01-22 Texas Instruments Incorporated In situ hardmask pullback using an in situ plasma resist trim process
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
JP4643916B2 (ja) * 2004-03-02 2011-03-02 株式会社アルバック 層間絶縁膜のドライエッチング方法及びその装置
JP4651956B2 (ja) * 2004-03-03 2011-03-16 株式会社アルバック 層間絶縁膜のドライエッチング方法
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7579280B2 (en) * 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7422946B2 (en) * 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
JP2006222156A (ja) 2005-02-08 2006-08-24 Toshiba Corp 有機膜加工方法
US7518196B2 (en) * 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
KR100691011B1 (ko) * 2005-06-30 2007-03-09 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090408A1 (en) * 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US8177990B2 (en) * 2006-03-31 2012-05-15 Tokyo Electron Limited Etching method, plasma processing system and storage medium
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8809185B1 (en) * 2013-07-29 2014-08-19 Tokyo Electron Limited Dry etching method for metallization pattern profiling
US9355893B1 (en) * 2015-01-20 2016-05-31 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing extreme low-K (ELK) dielectric layer from being damaged during plasma process

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57186335A (en) * 1981-05-12 1982-11-16 Nippon Telegr & Teleph Corp <Ntt> Forming method for pattern
JPH10256240A (ja) * 1997-01-10 1998-09-25 Sony Corp 半導体装置の製造方法
JPH1167909A (ja) * 1997-08-26 1999-03-09 Sony Corp 半導体装置の製造方法
JP2000269185A (ja) * 1999-02-17 2000-09-29 Fr Telecom プラズマガスによる有機誘電ポリマー材料の異方性エッチング方法
JP2001521282A (ja) * 1997-10-22 2001-11-06 アンテルユニヴェルシテール・ミクロ−エレクトロニカ・サントリュム・ヴェー・ゼッド・ドゥブルヴェ 有機化合物含有絶縁層の異方性エッチング

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6425419A (en) 1987-07-21 1989-01-27 Matsushita Electric Ind Co Ltd Etching
JPS6459820A (en) 1987-08-31 1989-03-07 Tokuda Seisakusho Dry etching
US5122225A (en) * 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5463322A (en) * 1993-12-03 1995-10-31 General Electric Company Method of locating common electrode shorts in an imager assembly
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5648296A (en) * 1994-07-27 1997-07-15 General Electric Company Post-fabrication repair method for thin film imager devices
US5654232A (en) * 1994-08-24 1997-08-05 Intel Corporation Wetting layer sidewalls to promote copper reflow into grooves
WO1996019826A1 (en) 1994-12-20 1996-06-27 National Semiconductor Corporation A method of fabricating integrated circuits using bilayer dielectrics
WO1996019829A1 (en) * 1994-12-22 1996-06-27 Pace Benedict G Device for superheating steam
US5798909A (en) * 1995-02-15 1998-08-25 International Business Machines Corporation Single-tiered organic chip carriers for wire bond-type chips
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5641712A (en) * 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5783493A (en) * 1997-01-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
US6114250A (en) 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57186335A (en) * 1981-05-12 1982-11-16 Nippon Telegr & Teleph Corp <Ntt> Forming method for pattern
JPH10256240A (ja) * 1997-01-10 1998-09-25 Sony Corp 半導体装置の製造方法
JPH1167909A (ja) * 1997-08-26 1999-03-09 Sony Corp 半導体装置の製造方法
JP2001521282A (ja) * 1997-10-22 2001-11-06 アンテルユニヴェルシテール・ミクロ−エレクトロニカ・サントリュム・ヴェー・ゼッド・ドゥブルヴェ 有機化合物含有絶縁層の異方性エッチング
JP2000269185A (ja) * 1999-02-17 2000-09-29 Fr Telecom プラズマガスによる有機誘電ポリマー材料の異方性エッチング方法

Also Published As

Publication number Publication date
WO2000067308A1 (en) 2000-11-09
EP1186014A1 (en) 2002-03-13
KR100778259B1 (ko) 2007-11-22
EP1186014B1 (en) 2010-12-15
KR20010112464A (ko) 2001-12-20
US6696366B1 (en) 2004-02-24
DE60045375D1 (de) 2011-01-27
TW468224B (en) 2001-12-11
JP2002543613A (ja) 2002-12-17

Similar Documents

Publication Publication Date Title
JP4657458B2 (ja) 低容量の誘電体層をエッチングするための技術
US6114250A (en) Techniques for etching a low capacitance dielectric layer on a substrate
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
US6569774B1 (en) Method to eliminate striations and surface roughness caused by dry etch
US6746961B2 (en) Plasma etching of dielectric layer with etch profile control
US6489245B1 (en) Methods for reducing mask erosion during plasma etching
JP2915807B2 (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
JP3409313B2 (ja) 酸化物及びフォトレジスト層に対して高度の選択性を有する異方性窒化物エッチング法
US5827437A (en) Multi-step metallization etch
JP2013030778A (ja) 二層レジストプラズマエッチングの方法
JP2002520853A (ja) 二重象眼のために特に有用な、誘電体多層構造のプラズマエッチプロセス
JP2004512668A (ja) フルオロカーボンのエッチングガスを用いた磁気的に増強されたプラズマエッチング方法
US20040106293A1 (en) Method for etching organic insulating film and dual damasene process
JP2002542623A (ja) 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法
US6136722A (en) Plasma etching method for forming hole in masked silicon dioxide
JP4558296B2 (ja) プラズマアッシング方法
JPH10150019A (ja) フォトレジスト選択性を向上し重合体密着性を改善するためのプラズマ反応処理法
US6228774B1 (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
US6653237B2 (en) High resist-selectivity etch for silicon trench etch applications
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
JP4643916B2 (ja) 層間絶縁膜のドライエッチング方法及びその装置
JP7498367B2 (ja) プラズマ処理方法
WO2023199371A1 (ja) プラズマ処理方法
JP2001044173A (ja) エッチング方法
JP4500029B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070502

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070824

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100420

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100720

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100817

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101207

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101222

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140107

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees