TW468224B - Techniques for etching a low capacitance dielectric layer - Google Patents

Techniques for etching a low capacitance dielectric layer Download PDF

Info

Publication number
TW468224B
TW468224B TW089108311A TW89108311A TW468224B TW 468224 B TW468224 B TW 468224B TW 089108311 A TW089108311 A TW 089108311A TW 89108311 A TW89108311 A TW 89108311A TW 468224 B TW468224 B TW 468224B
Authority
TW
Taiwan
Prior art keywords
low
processing chamber
plasma processing
dielectric layer
etching
Prior art date
Application number
TW089108311A
Other languages
English (en)
Inventor
Ian J Morey
Susan Ellingboe
Janet M Flanner
Christine M Janowiak
John Lang
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW468224B publication Critical patent/TW468224B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

46822 d A7 _____B7__ 五、發明說明(1 ) 相關申請案交互參考 本申請案是接續自19 98年8月17日提出申請之美國專利 申請案 09/135,419,名稱爲 nTECHIQUES FOR ETCHING A LOW CAPACITANCE DIELECTRIC LAYER ON A SUBSTRATE",該申請案的揭示歹丨j入本文參考。本申請案也 參考1999年5月5日提出申請的美國臨時申請案60/1 32,645, 名稱爲"TECHIQUES FOR ETCHING A LOW CAPACITANCE DIELECTRIC LAYER" ’該甲請案的揭示也歹[J人本文參考。 發明背景 本發明與半導體積體電路(IC's)的製造有關。更明確地 說,本發明與增進製造1C期間蝕刻穿過包括低電容介質層 之1C層堆疊的技術有關。 在某些半導體積體電路的製造中,有時需要使用低介 電常數(低-K)的材料做爲介電層的材料,以降低裝置的電容 ,以增進它們的電氣性能°如同所有的介電層,典型上需 要蝕刻介電層形成貫穿的孔道或溝,以穿過它與金屬形成 互連。以下描述穿過低電容介電層形成孔/溝的方法。 爲於便於討論,圖1的圖示說明層堆疊100,它包括光 阻層102、硬遮罩層丨04、低電容介電層106、及止蝕層108, 例如是雙鑲嵌法所使用的止蝕層,典型上是由適當的止蝕 材料構成,例如TiN、SiN、TEOS等。低電容介電層1〇6是 有機的低-K材料層,例如Dow Chemical的SILK、Allied Signal 的 Flare、Dow Chemical 的 BCB、Novellus 的 本紙張尺度適ϋ國國家標準(CNS)A4規格(210 x 297公釐) 7IZ " (請先閱讀背面之注意事項再填寫本頁) ----訂-------丨 - 4-6 B22 4 A7 _B7___ 五、發明說明(2 )
Parylene等。蝕刻的化學藥品也可蝕刻非低-K材料,如光 阻的有機膜。 在低電容介電層106上是硬遮罩層104,典型上是由SiN 、SiON(矽氧氮化物)或TEOS等構成。硬遮罩層104是一遮 罩層,使用它來在低電容介電層106中蝕刻貫穿的孔道/溝。 使用硬遮罩層是因爲在蝕刻低電容介電層1 06的有機低-K材 料時,光阻通常不是有效的遮罩材料。這是因爲光阻材料 與有機的低-K材料具有化學特性相同的傾向,具有所使用 之蝕刻化學藥劑相同的傾向,及/或具有相同蝕刻率的傾向 。爲在硬遮罩層104上製作圖案,提供光阻層102。光阻層 10 2例如是一層深UV或習用的光阻材料》 在圖2中,使用習知的光學製版法在光阻層102上製作 圖案。對光阻層丨02製作圖案以產生直達硬遮罩層104的開 口 202,以便接下來使用硬遮罩蝕刻法蝕刻硬遮罩層丨04。 在圖3中,使用硬遮罩蝕刻法延伸開口 202貫穿硬遮罩 層丨04。硬遮罩層104例如是TE0S層,硬遮罩蝕刻法是在電 漿處理反應器中進行,使用適合蝕刻TE0S的化學藥品,如 Ar/C4Fs/C2FW〇2,或習用的 TE0S 蝕劑。 在圖4中,開始蝕刻低電容介電層丨06。蝕刻低電容介 電層106典型上也是在電漿處理反應器中進行。蝕刻低電容 介電層106典型上是使用含氧的氣體(例如〇2、CO、C〇2等) 。典型上使用%做爲稀釋劑,加到用來蝕刻低電容介電材 料的氣體蝕劑中。爲簡化後文中的解釋,典型上,在蝕刻 的化學藥劑中會加入例如氟碳化物之類的鈍化劑。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -5 - (請先閒讀背面之注意事項再填寫本頁) --裝 ----訂----------------^o. 468224 A7 _______B7_ 五、發明說明(3 ) 如所習知,使用氧類蝕劑蝕刻低電容介電層丨〇6傾向於 各向同性的蝕刻,致使開口 202的側壁彎曲,而非保持所要 的垂直側壁。圖5說明在蝕刻低電容介電層106時,由於各 向同性的蝕刻使得側壁彎曲。如果爲補償整個晶.圓蝕刻不 均勻而過蝕|此彎曲效應將更加惡化。此彎曲效應使得對 輪廓的控制劣化,例如,導致形成凹角的輪廓,此輪廓的 角度大於90度,並導致後續的處理步驟(如塡充金屬)困難。 爲保持輪廓的控制並避免前述的側壁彎曲問題,除了 含氧氣體外,在習知技術中典型上還使用氟碳化物,例如 C4FS、C2HF5、CH2F2或之類的鈍化劑。不過,雖然添加氟碳 化物之類的鈍.化劑有助於保持垂直的側壁輪廓,但它傾向 先蝕刻光阻,接著是硬遮罩,當蝕刻進行到低電容介電層 106時,它必然使開口 202加大。 蝕刻低電容介電層106所使用的氧類蝕劑也會侵蝕光阻 層102中的光阻材料。因此,當蝕刻低電容介電層106時, 光阻層102的厚度也會變薄=由於氧類蝕劑是各向同性地侵 蝕光阻材料,孔道/溝之區域402及404的光阻遮罩經常被蝕 離。當圖4所示區域402及404的光阻材料被氧類蝕劑磨耗而 被蝕離時,硬遮罩層104的TEOS硬遮罩材料就暴露於爲鈍 化所添加的氟碳化物蝕劑之下。由於氟碳化物是TEOS的蝕 劑,在區域408及410所暴露出的硬遮罩材料也隨著時間而 被蝕離,致使硬遮罩層104的開口加大。硬遮罩層104的開 口加大,必然使低電容介電層106中要被蝕刻的孔道/溝加大 。於是,孔道/溝的關鍵尺寸不復存在或被破壞。結果如圖 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -6 - (請先閱讀背面之注$項再填寫本頁) Γ·裝 ------—訂-----I ---终-· d6B22 4 A7 __B7 _ 五、發明說明(4 ) 6所示》其中,所得到之孔道/溝的截面要大於所需,圖中 的寬度(w)指示所要的截面。 使用氟碳化物添加物也會使低電容介電層的蝕刻窗口 變窄。如果在蝕劑中添加的氟碳化物太多1低電容介電層 的蝕刻速率會大幅降低,最後蝕刻完全停止。結果添加的 氟碳化物太少,其鈍化就不足以保持所要的垂直側壁輪廓 〇 由於上述,吾人需要一種增進的技術,它能蝕刻貫穿 低電容介電層,同時能保持輪廓的控制,保有所得.到之孔 道/溝的關鍵尺寸,並保持高蝕刻速率。 發明槪述 本發明與在電漿處理室中蝕刻低電容介電層的方法有 關。該方法使用的蝕劑包括在電漿處理室中的氮氣、氧氣 及碳氫化合物。本發明在蝕刻低電容介電層時不僅鈾刻速 率快,且能保持輪廓控制|並保有所得到之開口 (例如孔道/ 溝)的關鍵尺寸。 在一實施例中,本發明與在電漿處理室中蝕刻低電容 介電層的方法有關。低電容介電層配置在基底上之硬遮罩 層的下方。該方法的蝕劑包括流入電漿處理室中的氮氣、 氧氣及碳氫化合物。接著從蝕劑產生電漿。本方法也包括 使用電漿透過硬遮罩層中的開口蝕刻低電容介電層。 按照另一實施例,蝕劑還包括含氟碳化物的氣體。含 氟碳化物的氣體可以有效地蝕刻含矽的低-K介電層。還有 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -7- (請先閲讀背面之注意事項再填寫本頁) —裝------II 訂.! ----!产" 46 82 2 4 A7 Γ___ _Β7_____ 五、發明說明(5 ) 另一實施例,電漿處理室是感應式的電漿處理室。在又一 實施例中,電漿處理室是電容式的電漿處理室。 以下將配合附圖更詳細描述本發明的這些及其它特徵 0 圖式簡蓖說明' 圖示的例子是用於說明本發明而非限制本發明’在所 附的圖式中,並非按比例繪製以簡化圖示,相同的参考編 號代表相同的元件,其中: 圖1是典型習知的1C層堆疊。 圖2是對圖1之習知1C層堆疊中的光阻層製作圖案之後 9 圖3是對圖1之習知1C層堆疊中的硬遮罩層製作圖案之 後。 圖4是開始蝕刻低電容介電層’且發生光阻的蝕離。 圖5說明當使用習知的蝕劑蝕刻低電容介電層時,在孔 道的側壁可能發生彎曲。 圖6說明當使用習知的蝕劑蝕刻低電容介電層時,孔道 側壁的關鍵尺寸可能劣化。 圖7說明使用本發明之實施例蝕刻低電容介電層的典型 孔道。 圖8是說明TCP™9丨OOTX電漿反應器的槪圖,是適合實 施本發明的電漿反應器之一。 圖9是說明4520XLE電漿反應器的槪圖,是適合實施本 本紙張尺度適用中國國家標準(CNS〉A4規格(210 X 297公釐〉 (請先閱讀背面之注意事項再填寫本頁> Ί— 裝-----丨—訂---- 46 822 4 A7 _B7__五、發明說明) 發明的電漿反應器之一。 圖1 0是按照本發明一實施例操作所發明之低電容介電 層蝕刻的流程圖。 主要元件對照 100 層堆疊 102 光阻層 104 硬遮罩層 106 低電容介電層 108 止蝕層 402 蝕離區域 404 蝕離區域 702 孔道/溝 704 側壁 802 電漿處理室 804 介質窗口 806 電極 808 RF產生器 810 氣體分配板 812 晶圓 814 卡盤 816 RF產生器 818 抽氣口 902 電漿處理室 (請先閱讀背面之注意事項再填寫本頁) Γ I ·—------訂---------. 本紙張尺度適用十國國家標準(CNS)A4規格(210 X 297公釐) -9- d6 822 4 A7 B7 五、發明說明Γ ) 904 間隙驅動器 906 頂電極 908 RF產生器 910 限制環 912 晶圓 914 卡盤. 916 RF產生器 發明詳細描沭 現將參考數個實施例並配合附圖詳細描述本發明。在 以下的描述中,爲提供對本發明徹底的瞭解,將說明很多 特定細節。不過,熟悉此方面技術之人士應瞭解,不使用 這特定細節也可以實施本發明。在其它的例中,爲避免不 必要的細節干擾本發明,不再對習知的處理步驟及/或結構 詳細描述。 按照本發明的一態樣,在電漿反應器中使用包括碳氫 化合物的蝕劑蝕刻低電容介電層中的有機低電容介電材料 。在一實施例中,蝕劑是N2、〇2及。在蝕劑中可加入 少量含氟碳化物的氣體做爲特定用途,例如蝕刻含矽的低 電容介電層。 在1998年8月17日提出申請之共同出讓的美國專利申請 案 09/1 35,4 1 9,名稱爲"TECHIQUES F〇R ETCHING A LOW CAPACITANCE DIELECTRIC LAYER 〇N A SUBSTRATE"中進 一步描述發明的N2/H2蝕劑,倂入本文參考。蝕劑無法 本紙張尺度適用帽國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝
---—訂---I ο 46 822 4 A7 ______B7 _ 五、發明說明(8 ) 獲得所要良好垂直輪廓及關鍵尺寸(CD)的控制,且蝕刻速 率慢。此外’如果需要過蝕以補償整個晶圓的蝕刻不均勻 ,使用N2/H2蝕劑對所蝕刻的開口會有些許的彎曲效應。本 發明含N2/〇2/CtHy之蝕劑的優點是提供所要求之垂直輪廓及 關鍵尺寸控制,且蝕刻速率快,例如,蝕刻速率在2000埃/ 分-8000埃/分之間,以在5000埃/分-8000埃/分之間爲佳,同 時可避免不欲見之側壁彎曲、關鍵尺寸喪失、輪廓控制喪 失、或蝕刻速率慢等特徵,經由例示,使用其它的蝕劑也 能獲得相同的蝕刻結果。 本發明之含Ni/Ch/CxH,的蝕劑可用來蝕刻與圖1所示層 堆疊中之低電容介電層相同的低電容介電層。蝕刻法開始 時與圖1 -4中所示的方法部分非常相同,層堆疊包括光阻層 、硬遮罩層、低電容介電層及止蝕層。以習知製作圖案的 方法對光阻層製作圖案以形成開口,接著以硬遮罩蝕刻法 延伸開口貫穿硬遮罩層。接著,使用本發明含的 蝕劑蝕刻貫穿低電容介電層。 使用氧類蝕刻貫穿低電容介電層同時也會侵蝕覆蓋的 光阻層。因此,光阻層的厚度會隨著蝕刻貫穿低電容介電 層的進行而變薄。由於氧類是各向同性地侵蝕光阻材料, 因此,在孔道/溝區域內的光阻罩也會被蝕離,甚至在蝕刻 到止蝕層時會被完全去除。在某些應用中這是想要的效果 ,它要求在蝕刻期間也能將去除光阻。在本發明.之含 N2/Ch/CxHy的蝕劑中,使用碳氫化合物(如C2H4或CH,)做爲 鈍化劑。本發明的蝕劑中使用碳氫化合物成分取代習知技 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -11 - (請先閲讀背面之沒$項再填窝本頁) .r-裝--------訂i o 46 822 4 A7 ___B7__ 五、發明說明(9 ) 術中所使用的氟碳化物來鈍化蝕刻開口的側壁,它使蝕刻 低電容介電層之各向同性的分量減至最小。使用碳氫化合 物消除硬遮罩蝕刻中的化學成分,只留下物理濺射成分。 雖然光阻及後續硬遮罩仍會發生刻面,除了光阻的蝕離, 氟不存在於用來飩刻低電容介電層的化學氣體中,大幅減 少了刻面效應。因此,光阻及硬遮罩較少的刻面,表示蝕 劑中的碳氫化合物成分提供了適當的鈍化,保持了所要的 關鍵尺寸及垂直的側壁輪廓。結果,所蝕刻出的開口不會 放大,關鍵尺寸得以控制 圖7顯示使用本發明之含N2/〇2/C,H,的蝕劑蝕刻低電容 介電層106所得到典型的孔道/溝702。由於側壁704被蝕劑中 的碳氫化合物成分鈍化,垂直的輪廓得以保持,即使光阻 層被完全去除且硬遮罩丨04部分被蝕耗,仍可得到所要的關 鍵尺寸。 本發明.希望低電容介電層的触刻技術能在任何適用的 電漿反應器內進行,包括電容式的反應器及感應式低壓高 密度(例如>109ion/cm3)的反應器。在較佳實施例中,本發明 是在感應耦合的電漿反應器中進行,例如TCP™9100TX電 a .Ji 漿反應器,可從Lam Research Corporation獲得。圖8是 〇 I TCP™9100TX電漿反應器的簡單槪圖,包括一電漿處理室 才 | 802 =介質窗口 804配置在電極806之下,做爲電漿進入電漿 .y 處理室802的介質窗口,可以與電極806感應耦合。電極806 ^ 是RF感應源,在圖8中是以一線圏實施。RF產生器808經 ζ ; 由一匹配網絡(習用的匹配網絡,爲簡化圖示,在圖8中未 \ 本紙張尺度適用中國囷家標i(CNS)A4規格<210 X 297公釐) 「12 - " (請先閱讀背面之注意事項再填寫本頁) 丨骏 ----訂----- ο. 46 822 4 A7 __B7_____ 五、發明說明(10 ) 顯示)激勵電極806。在實施例中,RF產生器808的RF頻率 爲13.5 6MHz,其它適合的RF頻率也可以使用。 (請先閱讀背面之注意事項再填寫本頁) 在處理室802內,還配置一氣體分配置板810,最好具 有複數個孔以將氣體源材料(例如蝕劑)釋放到氣體分配板 8 10與晶圓8 12間的RF-感應電漿區。氣體源材料也可從構建 於反應室本身之壁上的氣孔釋出。晶圓812被送入處理室 802並放置在卡盤814上,卡盤也做爲第二電極,由RF產生 器816偏壓(典型上也是經由匹配網絡)。在本實施例中,RF 產生器816的RF頻率大約4MHz |其它適合的RF頻率也可 以使用。晶圓812固定在卡盤814上的方法很多,可以使用· 習用的機械夾技術,或使用靜電力夾。 冷卻用的氦氣在壓力下從卡盤8 14與晶圓8 12間引入, 做爲熱傳輸的媒體,以精確地控制處理期間的晶圓溫度, 以確保均勻且重現的蝕刻結果。在電漿蝕刻期間,最好經 由抽氣口 8 1 8抽氣,以保持處理室8 02內的壓力低壓,在低-K介電質蝕刻期間,例如保持在大約1毫托到大約30毫托之 間。 可實施本發明的另一較佳實施例是電容式的電漿處理 反應器,例如4520XLE電漿反應器,也是從Lam Research Corporation獲得。圖9說明4520XLE電漿反應器的簡單槪圖 ,包括一電漿處理室902-間隙驅動器904配置在頂電極906 的上方。在圖9的例中,頂電極906是以矽電極實施。頂電 極906由RF產生器908經由一匹配網絡激勵(習用的匹配網絡 ,爲簡化圖示,在圖9中未顯示)。在實施例中’ RF.產生器 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -13- A7
46 822 A •_____B7 五、發明說明(11 ) 90 8的RF頻率爲27MHz,其它適合的RF頻率也可以使用。 在處理室902內,配置一限制環910,將氣體源材料(例 如蝕劑)內產生的電漿,限制在頂電極906與晶圓9 1 2間的 RF-感應電漿區內。氣體經由頂電極906進入處理室902。氣 體源材料也是從孔中釋出,釋氣孔可構建在反應室本身的 壁上,或從靜電卡盤914的四周釋出。晶圓912送入處理室 902,放置在卡盤914上,卡盤也做爲第二電極,由RF產生 器916偏壓(典型上也是經由匹配網絡)。在本實施例中,RF 產生器916的RF頻率大約2MHz,其它適合的RF頻率也可 以使用。晶圓912固定在卡盤914上的方法很多,可以使用 習用的機械夾技術,或使用靜電力夾。在電漿蝕刻期間, 處理室902內的壓力最好保持在大約10毫托到.大約300毫托 之間。 圖10說明按照本發明之實施例之低電容介電層蝕刻法 1000的操作流程圖。在步驟1 002,使用習用的光阻圖案製 作法在光阻遮罩上製作圖案。在步驟1 004,使用先前產生 的光阻遮罩在硬遮罩層上製作硬遮罩圖案。易言之,步驟 1004在硬遮罩中所蝕刻的開口即是要成形在低電容介電層 上的開口。如本文所使用的名詞,在低電容介電層中的開 口是在低電容介電層中所飩刻出的特徵,包括溝與孔道。 在步驟1006、1008及1010,低電容介電層被蝕刻。蝕刻 低電容介電層可以在另一個電漿處理室中進行,或在同一 個電漿處理室(即蝕刻硬遮罩所使用的電漿處理室)進行更佳 。在步驟1006,含N^/Ch/CxfL·的蝕劑流入電漿處理室。含 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 -14 - 46 822 4 A7 ______B7 _ 五、發明說明(12 ) (請先閱讀背面之注意事項再填寫本頁)
Ns/Ch/C^Hy的蝕劑可以選擇性地包括氟碳化物,如已扒或 C4Fs,用來蝕刻包含矽的低電容介電層,如苯—環-丁烯 (BCB)材料。在步驟1〇〇8,從含NJCh/C^H,的蝕劑中產生電 漿。在步驟丨010,從含N2/〇2/C*Hy之蝕劑中所產生的電漿, 通過硬遮罩中的開口,蝕刻低電容介電層中的低電容介電 材料。在步驟1010將低電容介電層蝕穿後,低電容介電質 蝕刻法1000結束。不過,在大多數的例中,可以使用過蝕 步驟’以補償整個晶圓的任何蝕刻不均勻。之後,使用習 用的處理操作繼續在蝕刻過的晶圓上完成積體電路.。 在一實例中,所要蝕刻的晶圓爲200毫米的晶圓,其上 有低電容介電材料FLARE 2.0,在TEOS所構成的硬遮罩下 方。低電容介電層的厚度大約7500埃,硬遮罩的厚度大約 2000埃。光阻遮罩是深UV的光阻遮罩,雖然任何類型的光 阻材料都可以使用。所要蝕刻的開口截面大約0.3微米。低 電容介電層的蝕刻是在高密度、低壓力的感應耦合電隳處 理反應器中進行,例如TCP™9100TX,可從加州Fremont的 Lam Research Corporation獲得。熟悉此方面技術之人士應 瞭解,以下實例所提供的參數可以等比調整及/或修改,以 適合蝕刻不同尺寸的基底*或依照特定電漿反應器的要求 〇 在上述的TCP™9100TX電漿處理系統中,電漿處理室 中的壓力在大約1毫托到大約30毫托之間,在大約5毫托到 大約20毫托之間較佳,以大約10毫托最佳。頂電極的的功 率大約700瓦到大約2200瓦之間,大約1200瓦到大約2000瓦 _____ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -15- 46 822 4 A7 _____B7 _ 五、發明說明(13 ) 之間較佳,大約1 800瓦最佳=底電極的功率大約50瓦到大 約500瓦之間,大約1〇〇瓦到大約400瓦之間較佳,大約3〇〇瓦 最佳。 在TCP™9100TX電漿處理系統中使用本實例,氮氣的 流量大約25sccm到大約150sccm之間,大約50sccm到大約 lOOsccm之間較佳,大約50sccm最佳。氧氣的流量大約 5sccm到大約75sccm之間,大約lOsccm到大約50sccm之間 較佳,大約25sccm最佳。CMiy的流量大約lsccm到大約 50sccm之間,大約5sccm到大約30sccm之間較佳,大約 15seem最佳。當蝕刻含矽的低電容介電層(如BCB)時,在含 N2/〇2/C,Hy的蝕劑中也可加入少量的氟碳化物氣體(例如 <5sccm) 1例如可添加CrFe或C4F8。 低電容介電層也可在電容式的電漿處理反應器中進行 ,例如 4250XLE,可從加州 Fremont 的 Lam Research Corporation獲得。在上述的4250XLE電漿處理系統中,電 漿處理室中的壓力在大約10毫托到大約300毫托之間,在大 約30毫托到大約200毫托之間較佳,以大約100毫托最佳。 頂電極的的功率大約0瓦到大約2000瓦之間,大約200瓦到 大約800瓦之間較佳,大約500瓦最佳。底電極的功率大約0 瓦到大約2000瓦之間,大約200瓦到大約800瓦之間較佳, 大約500瓦最佳。 在4250XLE電漿處理系統中,氮氣的流量大約Osccm到 大約lOOOseem之間,大約50sccm到大約600sccm之間較佳, 大約500sccm最佳。氧氣的流量大約5sccm到大約500sccm之 (請先閱讀背面之注意事項再填寫本頁) 裝 ----訂---------^.\.- .ί 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -16 - 46 B224 A7 ________B7__ 五、發明說明(14 ) (靖先閱讀背面之注意事項再填寫本頁) 間,大約5 s c.cm到大約5 0s cc m之間較佳,大約1 8 s c cm最佳 。(:《^的流量大約Osccm到大約500sccm之間,大約Osccm 到大約50sccm較佳,大約18sccm最佳。當蝕刻含矽的低電 容介電層(如BCB)時,在含Ni/Ch/C^iL·的蝕劑中也可加入少 量的氟碳化物氣體(例如<5sccm),例如或。 以百分比表示時,氮氣佔總流量的百分比大約50%到大 約95%之間,在例示的鈾刻法中,大約93.3%。氧氣佔總流 量的百分比大約2%到大約40%之間,在例示的蝕刻法中, 大約3.3% 6 C*Hy佔總流量的百分比大約2%到大約40%之間 ,在例示的蝕刻法中,大約3.3%。如前所述,當蝕刻含矽 的低電容介電層(如BCB)時,含氟碳化物的氣體也可添加到 含N2/〇2/C»Hy的蝕劑中,例如C2FS或C-F8,當以百分比表示 時,C*Fy佔總流量的百分比大約0.05%。 據信,在蝕劑中的碳氫化合物相對於氧增加時,對增 進輪廓的控制,比僅改變氧流量更有貢獻。C,Hy : 〇2的比 例在大約1 : 9 9到大約2 : 1之間,以大約2 : 3到大約3 : 2之 間更佳。在例示的蝕刻中,蝕劑中d : 〇2的比例大約3 : 2可得到最佳的蝕刻效果。 從前述中可瞭解,本發明的低電容介電質的蝕刻使用 含N2/〇2/己的蝕劑,有利於鈍化側壁以保持實質上的垂直 輪廓,並有利於高準度的關鍵尺寸控制,即使是在高蝕刻 速率時。,由於增進之含NWOa/CxH,的蝕劑中的碳氫化合物 成分使側壁鈍化,允許蝕刻的開口保持實質的垂直輪廓, 並有利於高準度的關鍵尺寸控制。將碳氫化合物添加到本 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ,17- 468224 A7 ---------B7______ 五、發明說明(15 ) 發明的蝕劑’可補償含氧成分之各向同性的蝕刻品質。 雖然本發明是以數個較佳實施例描述,但它們的變更 、互換及相等物都在本發明的範圍。須瞭解,可從不同的 途徑實施本發明的方法及設備。因此,以下所附申請專利 範圍要被解釋成包括所有這類變更、互換及相等物,都在 本發明的精神與範圍。 18- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公Μ )

Claims (1)

  1. 468224 A8 B8 C8 D8 六、申請專利範圍 i· 一種在電漿處理室中蝕刻低電容介電層的方法,該 低電容介電層配置在基底上之硬遮罩層的下方,該方法包 括: 包括氮氣、氧氣及碳氫化合物的蝕劑流入該電漿處理 室中; 從該蝕劑中產生電漿:以及 使用該電漿通過該硬遮罩層上的開口蝕刻貫穿該低電 容介電層。 2 .如申請專利範圍第1項的方法,其中該碳氫化合物是 C2H4 = 3 ·如申請專利範圍第1項的方法,其中該碳氫化合物是 CHU。 4. 如申請專利範圍第1項的方法,其中該硬遮罩層是由 TEOS構成。 5. 如申請專利範圍第1項的方法,其中該電漿處理室是 一低壓、高密度的電漿處理室。 經濟部智慧財產局員工消費合作社印製 6. 如申請專利範圍第1項的方法,.其中該電漿處理室是 感應耦合的電漿處理室。 7. 如申請專利範圍第1項的方法,其中該電漿處理室是 電容式的電漿處理室。 8. 如申請專利範圍第1項的方法,其中該氮氣流量佔總 流量的百分比在大約50%到大約95 %之間。 9. 如申請專利範圍第〖項的方法,其中該氧氣流量佔總 流量的百分比在大約5%到大約40%之間。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) 46 82 24 as B8 C8 D8 六、申請專利範圍 10.如申請專利範圍第1項的方法,其中該碳氫化合物的 流量佔總流量的百分比在大約2%到大約40%之間。 请 先 閲 讀 背 面 之 注 意 事 項 再, f 本 I 1 1.如申請專利範圍第1項的方法,其中該碳氫化合物與 該氧氣在蝕劑中所佔的百分比在大約2 :丨到大約1 : 99之間 〇 12. 如申請專利範圍第6項的方法,其中該電漿處理室是 一感應耦合的電漿處理室,且 其中該電漿處理室內的壓力保持在I毫托到30毫托之間 〇 13. 如申請專利範圍第6項的方法,其中該電漿處理室是 一電容式的電漿處理室,且 其中該電漿處理室內的壓力保持在10毫托到300毫托之 間β 1 4.如申請專利範圍第1項的方法,其中該硬遮罩層配置 在光阻層下方。 15·如申請專利範圍第1項的方法,其中該蝕劑進一步包 括氟碳化物。 經濟部智慧財產局員工消費合作社印製 16.如申請專利範圍第15項的方法,其中該低電容介電 層是由含砂的低介電材料構成。 17種在電漿處理室中触刻低電容介電層的方法,該 低電容介電層配置在基底上之硬遮罩層下方,該方法包括 蝕刻該硬遮罩層以在該硬遮罩層中形成開□; 包括氧氣及碳氫化合物的蝕劑流入該電漿處理室中; 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -20- d 6 82 2 4- A8 B8 C8 D8 六、申請專利範圍 從該蝕劑中產生電漿;以及 使用該電漿通過該硬遮罩層上的開口蝕刻貫穿該低電 容介電層,在蝕刻該低電容介電層期間,該蝕劑鈍化該開 口的側壁,以得到實質垂直的輪廓。 18. 如申請專利範圍第17項的方法,其中該蝕劑進一步 包括氮氣。 19. 如申請專利範圍第17項的方法,其中蝕刻該硬遮罩 層與蝕刻該低電容介電層是在同一個處理室中進行。 20. —種在電漿處理室中蝕刻低電容介電層的方法,該 低電容介電層配置在基底上之硬遮罩層下方,該硬遮罩層 配置在一光阻遮罩的下方,該方法包括: 在該光阻遮罩中製作開口的圖案; 使用該光阻遮罩中的該開口對該硬遮罩層製作圖案: 包括氮氣、氧氣及碳氫化合物的蝕劑流入該電漿處理 室中; 從該蝕劑中產生電漿:以及 使用該電漿通過該硬遮罩層上的開口蝕刻貫穿該低電 容介電層。 2 1.如申請專利範圍第20項的方法,其中該光阻遮罩在 蝕刻期間被去除。 22. 如申請專利範圍第20項的方法,其中該蝕劑進一步 包括氟碳化物。 23. 如申請專利範圍第20項的方法,其中該碳氫化合物 爲C2H4及CH4其中之一。 本紙張尺度逋用中國國家標準(CNS ) Α4規格(210X297公嫠) '1裝-- (請先閲讀背面之注意事項1寫本頁) ,ιτ· ·>, 經濟部智慧財產局員工消費合作社印製 -21 - 46 8224 A8 B8 C8 D8 六、申請專利範圍 24. 如申請專利範圍第20項的方法,其中該先阻遮罩在 蝕刻前被去除。 25. 如申請專利範圍第20項的方法,其中該電漿處理室 是感應耦合電漿處理室及電容式電漿處理室其中之一。 26. 如申請專利範圍第20項的方法,其中該碳氫化合物 與該氧氣在蝕劑中所佔的百分比在大約2 : i到大約I : 9 9之 間。 ---------VI 裝-- (請先閲讀背面之注意事項^^寫本頁) :> 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標率(CNS ) A4規格(210X297公釐) -22-
TW089108311A 1999-05-05 2000-05-02 Techniques for etching a low capacitance dielectric layer TW468224B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13264599P 1999-05-05 1999-05-05
US09/347,582 US6696366B1 (en) 1998-08-17 1999-06-30 Technique for etching a low capacitance dielectric layer

Publications (1)

Publication Number Publication Date
TW468224B true TW468224B (en) 2001-12-11

Family

ID=26830592

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089108311A TW468224B (en) 1999-05-05 2000-05-02 Techniques for etching a low capacitance dielectric layer

Country Status (7)

Country Link
US (1) US6696366B1 (zh)
EP (1) EP1186014B1 (zh)
JP (1) JP4657458B2 (zh)
KR (1) KR100778259B1 (zh)
DE (1) DE60045375D1 (zh)
TW (1) TW468224B (zh)
WO (1) WO2000067308A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567869B (zh) * 2015-01-20 2017-01-21 台灣積體電路製造股份有限公司 內連線結構之形成方法

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9904427D0 (en) 1999-02-26 1999-04-21 Trikon Holdings Ltd Method treating an insulating layer
JP3403373B2 (ja) 2000-05-26 2003-05-06 松下電器産業株式会社 有機膜のエッチング方法、半導体装置の製造方法及びパターンの形成方法
JP3403374B2 (ja) 2000-05-26 2003-05-06 松下電器産業株式会社 有機膜のエッチング方法、半導体装置の製造方法及びパターンの形成方法
JP3403372B2 (ja) 2000-05-26 2003-05-06 松下電器産業株式会社 有機膜のエッチング方法、半導体装置の製造方法及びパターンの形成方法
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US7311852B2 (en) 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
WO2003085717A1 (fr) * 2002-04-08 2003-10-16 Tokyo Electron Limited Procede de gravure au plasma
US20040084411A1 (en) * 2002-10-31 2004-05-06 Applied Materials, Inc. Method of etching a silicon-containing dielectric material
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
JP2005033027A (ja) * 2003-07-07 2005-02-03 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
US7320927B2 (en) * 2003-10-20 2008-01-22 Texas Instruments Incorporated In situ hardmask pullback using an in situ plasma resist trim process
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
JP4643916B2 (ja) * 2004-03-02 2011-03-02 株式会社アルバック 層間絶縁膜のドライエッチング方法及びその装置
JP4651956B2 (ja) * 2004-03-03 2011-03-16 株式会社アルバック 層間絶縁膜のドライエッチング方法
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7579280B2 (en) * 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
JP2006222156A (ja) 2005-02-08 2006-08-24 Toshiba Corp 有機膜加工方法
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
KR100691011B1 (ko) * 2005-06-30 2007-03-09 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090408A1 (en) * 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US8177990B2 (en) * 2006-03-31 2012-05-15 Tokyo Electron Limited Etching method, plasma processing system and storage medium
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8809185B1 (en) * 2013-07-29 2014-08-19 Tokyo Electron Limited Dry etching method for metallization pattern profiling

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57186335A (en) * 1981-05-12 1982-11-16 Nippon Telegr & Teleph Corp <Ntt> Forming method for pattern
JPS6425419A (en) 1987-07-21 1989-01-27 Matsushita Electric Ind Co Ltd Etching
JPS6459820A (en) 1987-08-31 1989-03-07 Tokuda Seisakusho Dry etching
US5122225A (en) * 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5463322A (en) * 1993-12-03 1995-10-31 General Electric Company Method of locating common electrode shorts in an imager assembly
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5648296A (en) * 1994-07-27 1997-07-15 General Electric Company Post-fabrication repair method for thin film imager devices
US5654232A (en) * 1994-08-24 1997-08-05 Intel Corporation Wetting layer sidewalls to promote copper reflow into grooves
WO1996019826A1 (en) 1994-12-20 1996-06-27 National Semiconductor Corporation A method of fabricating integrated circuits using bilayer dielectrics
EP0804806A1 (en) * 1994-12-22 1997-11-05 Benedict G. Pace Device for superheating steam
US5798909A (en) * 1995-02-15 1998-08-25 International Business Machines Corporation Single-tiered organic chip carriers for wire bond-type chips
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5641712A (en) * 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
JPH10256240A (ja) * 1997-01-10 1998-09-25 Sony Corp 半導体装置の製造方法
US5783493A (en) * 1997-01-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
JP3959790B2 (ja) * 1997-08-26 2007-08-15 ソニー株式会社 半導体装置の製造方法
EP0911697A3 (en) * 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
US6114250A (en) 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
FR2789804B1 (fr) * 1999-02-17 2002-08-23 France Telecom Procede de gravure anisotrope par plasma gazeux d'un materiau polymere dielectrique organique et application a la microelectronique

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567869B (zh) * 2015-01-20 2017-01-21 台灣積體電路製造股份有限公司 內連線結構之形成方法

Also Published As

Publication number Publication date
KR20010112464A (ko) 2001-12-20
JP2002543613A (ja) 2002-12-17
DE60045375D1 (de) 2011-01-27
KR100778259B1 (ko) 2007-11-22
WO2000067308A1 (en) 2000-11-09
JP4657458B2 (ja) 2011-03-23
EP1186014B1 (en) 2010-12-15
EP1186014A1 (en) 2002-03-13
US6696366B1 (en) 2004-02-24

Similar Documents

Publication Publication Date Title
TW468224B (en) Techniques for etching a low capacitance dielectric layer
TW535197B (en) Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
TW538476B (en) Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6653734B2 (en) Convertible hot edge ring to improve low-K dielectric etch
US8394722B2 (en) Bi-layer, tri-layer mask CD control
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
KR100430046B1 (ko) 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스
US7977390B2 (en) Method for plasma etching performance enhancement
US6114250A (en) Techniques for etching a low capacitance dielectric layer on a substrate
US6833325B2 (en) Method for plasma etching performance enhancement
JP3155513B2 (ja) 高密度プラズマ中での高アスペクト比フィーチャ用の異方性選択的窒化物エッチング方法
JP2002520853A (ja) 二重象眼のために特に有用な、誘電体多層構造のプラズマエッチプロセス
TW529105B (en) Etching method of organic based insulating film and dual damascene process
US20050277289A1 (en) Line edge roughness reduction for trench etch
TW589403B (en) Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6136722A (en) Plasma etching method for forming hole in masked silicon dioxide
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
TW479291B (en) Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
JPH11111680A (ja) エッチング方法
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
WO2006019849A1 (en) Low-k dielectric etch

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees