JP5085997B2 - プラズマエッチング性能強化方法及び装置 - Google Patents

プラズマエッチング性能強化方法及び装置 Download PDF

Info

Publication number
JP5085997B2
JP5085997B2 JP2007214211A JP2007214211A JP5085997B2 JP 5085997 B2 JP5085997 B2 JP 5085997B2 JP 2007214211 A JP2007214211 A JP 2007214211A JP 2007214211 A JP2007214211 A JP 2007214211A JP 5085997 B2 JP5085997 B2 JP 5085997B2
Authority
JP
Japan
Prior art keywords
etching
gas
silicon
feature
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007214211A
Other languages
English (en)
Other versions
JP2008060566A5 (ja
JP2008060566A (ja
Inventor
ビン・ジ
エリック・エー.・エデルバーグ
匠 柳川
ジソング・フアン
ルーミン・リ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/508,725 external-priority patent/US7977390B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2008060566A publication Critical patent/JP2008060566A/ja
Publication of JP2008060566A5 publication Critical patent/JP2008060566A5/ja
Application granted granted Critical
Publication of JP5085997B2 publication Critical patent/JP5085997B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Description

本発明は、プラズマを用いて、エッチマスクにより定めた構造をエッチングすることにより、半導体ウェーハ上の構造を得る方法に関する。
半導体プラズマエッチング用途において、プラズマエッチャは、通常、Siウェーハ上において、フォトレジストマスクパターンを転写し、所望の薄膜及び/又は積層膜(導体又は誘電絶縁体)の回路及び回線パターンとするために使用される。これは、マスクパターンの開口領域において、フォトレジスト材料の下の膜(及び積層膜)をエッチングにより除去することで達成される。このエッチング反応は、リアクタチャンバとも呼ばれる真空筐体に収容された反応混合物において、放電を起こすことで生成される化学的活性種及び荷電粒子(イオン)により開始される。加えて、イオンは、ガス混合物とウェーハ材料との間に形成された電場を介してウェーハ材料に対して加速され、異方性エッチングと呼ばれる形で、イオン軌道の方向に沿ったエッチング材料の指向性除去を発生させる。エッチング手順の仕上げに、マスキング材料を剥離させて除去し、その場所に、当初意図したマスクパターンの横方向パターンの複製を残す。エッチング処理中、マスク材料は、通常、パターン転写と引き換えに浸食及び/又は損傷を受ける。結果として、損傷及び浸食の一部も下層に転写され、ストリエーション、CD拡大等の望ましくないパターン障害を残す恐れがある。
したがって、エッチング方法の目的には、フォトレジストマスク浸食を低減し、フォトレジストマスクパターンからのパターン転写の忠実度を高めることが含まれる。
窒化シリコン障壁層910上の誘電層908の上にフォトレジストマスク904がある状態を示す図9に示したように、誘電体エッチングにおいて、アスペクト比(AR)は、特徴部の深度(d)と幅(w1)との間の比として定義される。誘電層908がエッチングされ、弓状の側壁を有する特徴916が形成されている。高アスペクト比(HAR)誘電体エッチングでは、ARは10より大きく、次のような多数の競合要件に遭遇する。
・誘電層とマスク層との間での高いエッチング選択性
・垂直方向で直線となる特徴部のプロファイル
・限界寸法(CD)の制御
エッチ処理全体でマスクパターンを持続させ、エッチプロファイルにおける望ましくない不規則な逸脱(ストリエーション)を防止するには、より高いエッチング選択性が必要である。垂直方向の直線プロファイルからの逸脱は幾つかのメカニズムにより生じ、ボーイングは、特徴部の上方中央部の拡大を意味し(図9のw2>w1)、ネッキングは、特徴部最上部近くでの狭小化を意味し(図10のw4<w1)、テーパリングは、特徴部底部に向けての狭小化を意味し(w2>w3)、ツイスティングは、特徴部底部における位置及び配向性のランダムなずれ、或いは底部形状の歪みを意味し、下の能動素子との整合不良につながる。ボーイング深度dBは、図示したように、ボーイングが発生した場所の深度として示される。CD制御は、特徴部のサイズが縮小を続けるにしたがって重要性を増している。多くの場合、マスクに定められた値からのCDの低減又は縮小は、HARエッチング中に達成する必要がある。
図10は、従来の手法を用いて特徴部1016を形成した別のエッチングの概略図である。この例では、ボーイングが生じて特徴幅w2を形成する前に、ネッキングが発生して、特徴幅w4を形成している。
従来技術において、こうした問題を解決する努力が成されてきた。最も難しい問題の一つは、ボーイング予防、或いは、垂直なプロファイルでのボーイングの除去である。一般的な従来方法は、重合フルオロカーボン化学反応を使用して、プラズマエッチング中に特徴部側壁を不動態化することである。しかしながら、このアプローチは、複雑な競合化学反応、更にはボーイング予防とエッチング停止との間のトレードオフにより限定される。アスペクト比が更に増加するにしたがって、この従来の方法は、ボーイング予防にとって不適切となってきた。エッチング中の側壁の不動態化(堆積)のバリエーションが探求されてきており、例えば、エッチングステップ中に不動態化添加剤を提供することで、プラズマエッチング中の重合化の傾向が増加し、側壁不動態化及びボーイング予防の強化につながる。しかしながら、エッチング化学反応は更に複雑になり、結果として、エッチング停止等、トレードオフの限界の影響を受けやすくなる。
上述したことを達成するために、本発明の目的に従い、誘電層に特徴部をエッチングする方法を提供する。マスクを誘電層上に形成する。保護シリコン含有被覆を、マスクの露出面に形成する。マスク及び保護シリコン含有被覆を介して、特徴部をエッチングする
本発明の別の実施例では、誘電層に特徴部をエッチングする方法を提供する。マスクを誘電層上に形成する。誘電層に特徴部を部分的にエッチングする。部分的にエッチングされた特徴部の側壁に、保護シリコン含有被覆を形成する。特徴部を完全にエッチングする。
本発明の別の実施例では、マスクの下に配置された誘電層に特徴部を形成する装置を提供する。プラズマ処理チャンバ筐体を形成するチャンバ壁と、プラズマ処理チャンバ筐体内で基板を支持する基盤支持部と、プラズマ処理チャンバ筐体内の圧力を調整する圧力調整器と、プラズマを維持するためにプラズマ処理チャンバ筐体に電力を提供する少なくとも一個の電極と、プラズマ処理チャンバ筐体内にガスを提供するガス入口と、プラズマ処理チャンバ筐体からガスを排出するガス出口と、を備えるプラズマ処理チャンバを提供する。ガスソースは、ガス入口と流体的に連絡し、ガスソースは、シリコン含有堆積ガスソースとエッチングガスソースとを備える。コントローラは、ガスソースと、少なくとも一個の電極とに制御可能に接続され、少なくとも一個のプロセッサと、コンピュータ読み取り可能な媒体とを備える。コンピュータ読み取り可能な媒体は、シリコン含有ガスソースからシリコン含有堆積ガスを提供するためのコンピュータ読み取り可能なコードと、シリコン含有堆積ガスからプラズマを形成するためのコンピュータ読み取り可能なコードと、マスクの露出面において、プラズマからシリコン含有層を堆積させるためのコンピュータ読み取り可能なコードと、シリコン含有ガスソースからシリコン含有堆積ガスを提供するステップを終了するためのコンピュータ読み取り可能なコードとを含む、マスクの露出面にシリコン含有被覆を形成するためのコンピュータ読み取り可能なコードを備える。コンピュータ読み取り可能な媒体は、更に、エッチガスソースからエッチガスを提供するためのコンピュータ読み取り可能なコードと、エッチガスから、誘電層に特徴部をエッチングするプラズマを形成するためのコンピュータ読み取り可能なコードと、エッチガスソースからエッチガスを提供するステップを終了するためのコンピュータ読み取り可能なコードとを含む、マスク及び保護シリコン含有層を介して特徴部をエッチングするためのコンピュータ読み取り可能なコードを備える。
(1)本発明の一形態としての方法は、誘電層をエッチングして特徴部を形成する方法であって、
前記誘電層上にマスクを形成するステップと、
前記マスクの露出面に保護シリコン含有被覆を形成するステップと、
前記マスク及び保護シリコン含有被覆を介して前記誘電層をエッチングすることによって前記特徴部を形成するステップと、を備え、
前記保護シリコン含有被覆を形成するステップは、
SiF4とH2とを含む保護被覆ガスを提供するステップと、
前記保護被覆ガスをプラズマに変換するステップと、
前記プラズマから前記保護シリコン含有被覆を堆積させるステップと、
前記保護被覆ガスを停止するステップと、
を含み、
前記特徴部は、底部を有し、
前記保護シリコン含有被覆を形成するステップは、前記特徴部の前記底部となる部分に前記保護シリコン含有被覆を堆積させない、方法である。
(2)本発明の他の形態としての方法は、誘電層をエッチングして特徴部を形成する方法であって、
誘電層上にマスクを形成するステップと、
前記誘電層をエッチングすることによって前記特徴部を部分的に形成するステップと、
前記部分的に形成された特徴部の側壁に保護シリコン含有被覆を形成するステップと、
前記誘電層をエッチングすることによって前記特徴部を完全に形成するステップと、を備え、
前記保護シリコン含有被覆を形成するステップは、
SiF4とH2とを含む保護被覆ガスを提供するステップと、
前記保護被覆ガスをプラズマに変換するステップと、
前記プラズマから前記保護シリコン含有被覆を堆積させるステップと、
前記保護被覆ガスを停止するステップと、
を含み、
前記特徴部は、底部を有し、
前記保護シリコン含有被覆を形成するステップは、前記特徴部の前記底部となる部分に前記保護シリコン含有被覆を堆積させない、方法である。
(3)本発明の一形態としての装置は、マスクの下に配置された誘電層に特徴部を形成する装置であって、
プラズマ処理チャンバ筐体を形成するチャンバ壁と、
前記プラズマ処理チャンバ筐体内で基板を支持する基盤支持部と、
前記プラズマ処理チャンバ筐体内の圧力を調整する圧力調整器と、
プラズマを維持するために前記プラズマ処理チャンバ筐体に電力を提供する少なくとも一個の電極と、
前記プラズマ処理チャンバ筐体内にガスを提供するガス入口と、
前記プラズマ処理チャンバ筐体からガスを排出するガス出口と、
を有するプラズマ処理チャンバと、
シリコン含有堆積ガスソースと、
エッチングガスソースと、
を有し、前記ガス入口と流体的に連絡するガスソースと、
少なくとも一個のプロセッサと、
コンピュータ読み取り可能な媒体と、
を有し、前記ガスソース及び前記少なくとも一個の電極に制御可能に接続されたコントローラと、
を備え、
前記コンピュータ読み取り可能な媒体が、
前記シリコン含有ガスソースからシリコン含有堆積ガスを提供するためのコンピュータ読み取り可能なコード、
前記シリコン含有堆積ガスからプラズマを形成するためのコンピュータ読み取り可能なコード、
前記マスクの露出面において、前記プラズマから保護シリコン含有被覆を堆積させるためのコンピュータ読み取り可能なコード、及び
前記シリコン含有ガスソースから前記シリコン含有堆積ガスを提供するステップを終了するためのコンピュータ読み取り可能なコード、
を含み、前記マスクの露出面に保護シリコン含有被覆を形成するためのコンピュータ読み取り可能なコードと、
前記エッチガスソースからエッチガスを提供するためのコンピュータ読み取り可能なコード、
前記エッチガスから、前記誘電層をエッチングするプラズマを形成するためのコンピュータ読み取り可能なコード、及び
前記エッチガスソースから前記エッチガスを提供するステップを終了するためのコンピュータ読み取り可能なコード、
を含み、前記マスク及び保護シリコン含有被覆を介して前記誘電層をエッチングすることによって前記特徴部を形成するためのコンピュータ読み取り可能なコードと、
を含み、
前記特徴部は、底部を有し、
前記保護シリコン含有被覆を形成するためのコンピュータ読み取り可能なコードは、前記特徴部の前記底部となる部分に前記保護シリコン含有被覆を堆積させない、装置である。
(4)本発明は、以下の適用例としても実現可能である。
[適用例1]
誘電層に特徴部をエッチングする方法であって、
前記誘電層上にマスクを形成するステップと、
前記マスクの露出面に保護シリコン含有被覆を形成するステップと、
前記マスク及び保護シリコン含有被覆を介して前記特徴部をエッチングするステップと、を備える方法。
[適用例2]
前記特徴部は、底部を有し、
前記保護シリコン含有被覆を形成するステップは、前記特徴部の前記底部に前記シリコン含有被覆を堆積させない、適用例1記載の方法。
[適用例3]
前記保護シリコン含有被覆を形成するステップは、
SiF4、SiH4、Si(CH34、SiH(CH33、SiH2(CH32、SiH3(CH3)、Si(C254、又は他の有機シリコン化合物のうちの少なくとも一つを含む保護被覆ガスを提供するステップと、
前記保護被覆ガスをプラズマに変換するステップと、
前記プラズマから前記保護シリコン被覆を堆積させるステップと、
前記保護被覆ガスを停止するステップと、を含む、適用例1記載の方法。
[適用例4]
前記保護被覆ガスは、SiF4を含む、適用例3記載の方法。
[適用例5]
前記保護被覆ガスは、更にH2を含む、適用例4記載の方法。
[適用例6]
前記マスク及び保護シリコン含有被覆を介して前記特徴部をエッチングするステップは、
CH3F及びCH22を含まないエッチングガスを提供するステップと、
前記特徴部をエッチングする前記エッチングガスからプラズマを形成するステップと、を含む、適用例5記載の方法。
[適用例7]
更に、前記保護シリコン被覆を形成する前に、前記誘電層において、前記特徴部をボーイング深度まで部分的にエッチングするステップを備える、適用例6記載の方法。
[適用例8]
前記保護シリコン被覆を形成するステップと、前記特徴部をエッチングするステップとは、少なくとも三回循環的に実行される、適用例6記載の方法。
[適用例9]
前記保護被覆を堆積させるステップは、5〜500Wのバイアス電力を提供するステップを含む、適用例3記載の方法。
[適用例10]
前記バイアス電力を提供するステップは、低周波RF信号を提供するステップを含む、適用例9記載の方法。
[適用例11]
前記特徴部は、垂直なプロファイルを有する、適用例10記載の方法。
[適用例12]
更に、前記保護シリコン被覆を形成する前に、前記誘電層において、前記特徴部をボーイング深度まで部分的にエッチングするステップを備える、適用例1記載の方法。
[適用例13]
前記マスクは、有機材料マスクである、適用例1記載の方法。
[適用例14]
前記マスク及び保護シリコン含有被覆を介して前記特徴部をエッチングするステップは、
CH3F及びCH22を含まないエッチングガスを提供するステップと、
前記特徴部をエッチングする前記エッチングガスからプラズマを形成するステップと、を含む、適用例1記載の方法。
[適用例15]
誘電層に特徴部をエッチングする方法であって、
誘電層上にマスクを形成するステップと、
前記誘電層に特徴部を部分的にエッチングするステップと、
前記部分的にエッチングされた特徴部の側壁に保護シリコン含有被覆を形成するステップと、
前記特徴部を完全にエッチングするステップと、を備える方法。
[適用例16]
前記特徴部を部分的にエッチングするステップでは、前記特徴部をボーイング深度までエッチングする、適用例15記載の方法。
[適用例17]
前記特徴部は、底部を有し、
前記保護シリコン含有被覆を形成するステップは、前記特徴部の前記底部に前記シリコン含有被覆を堆積させない、適用例16記載の方法。
[適用例18]
前記保護シリコン含有被覆を形成する前記ステップは、
SiF4、SiH4、Si(CH34、SiH(CH33、SiH2(CH32、SiH3(CH3)、Si(C254、又は他の有機シリコン化合物のうちの少なくとも一つを含む保護被覆ガスを提供するステップと、
前記保護被覆ガスをプラズマに変換するステップと、
前記プラズマから前記保護シリコン被覆を堆積させるステップと、
前記保護被覆ガスを停止するステップと、を含む、適用例17記載の方法。
[適用例19]
前記保護被覆を堆積させる前記ステップは、5〜500Wのバイアス電力を提供するステップを含む、適用例18記載の方法。
[適用例20]
前記バイアス電力を提供するステップは、低周波RF信号を提供するステップを含む、適用例19記載の方法。
[適用例21]
前記特徴部は、垂直なプロファイルを有する、適用例20記載の方法。
[適用例22]
前記マスクは、有機材料マスクである、適用例15記載の方法。
[適用例23]
前記特徴部を完全にエッチングするステップは、
CH3F及びCH22を含まないエッチングガスを提供するステップと、
前記特徴部をエッチングする前記エッチングガスからプラズマを形成するステップと、を含む、適用例15記載の方法。
[適用例24]
マスクの下に配置された誘電層に特徴部を形成する装置であって、
プラズマ処理チャンバ筐体を形成するチャンバ壁と、
前記プラズマ処理チャンバ筐体内で基板を支持する基盤支持部と、
前記プラズマ処理チャンバ筐体内の圧力を調整する圧力調整器と、
プラズマを維持するために前記プラズマ処理チャンバ筐体に電力を提供する少なくとも一個の電極と、
前記プラズマ処理チャンバ筐体内にガスを提供するガス入口と、
前記プラズマ処理チャンバ筐体からガスを排出するガス出口と、
を有するプラズマ処理チャンバと、
シリコン含有堆積ガスソースと、
エッチングガスソースと、
を有し、前記ガス入口と流体的に連絡するガスソースと、
少なくとも一個のプロセッサと、
コンピュータ読み取り可能な媒体と、
を有し、前記ガスソース及び前記少なくとも一個の電極に制御可能に接続されたコントローラと、
を備え、
前記コンピュータ読み取り可能な媒体が、
前記シリコン含有ガスソースからシリコン含有堆積ガスを提供するためのコンピュータ読み取り可能なコード、
前記シリコン含有堆積ガスからプラズマを形成するためのコンピュータ読み取り可能なコード、
前記マスクの露出面において、前記プラズマからシリコン含有層を堆積させるためのコンピュータ読み取り可能なコード、及び
前記シリコン含有ガスソースから前記シリコン含有堆積ガスを提供するステップを終了するためのコンピュータ読み取り可能なコード、
を含み、前記マスクの露出面にシリコン含有被覆を形成するためのコンピュータ読み取り可能なコードと、
前記エッチガスソースからエッチガスを提供するためのコンピュータ読み取り可能なコード、
前記エッチガスから、前記誘電層に特徴部をエッチングするプラズマを形成するためのコンピュータ読み取り可能なコード、及び
前記エッチガスソースから前記エッチガスを提供するステップを終了するためのコンピュータ読み取り可能なコード、
を含み、前記マスク及び保護シリコン含有層を介して特徴部をエッチングするためのコンピュータ読み取り可能なコードと、
を含む、装置。
本発明の上記その他の特徴は、本発明の詳細な説明において、添付図面と併せて以下に更に詳細に説明する。
本発明は、同様の参照符号が同様の要素を示す添付図面の各図において一例として図示されているが、これらに限定されるものではない。
以下、添付図面に例示した幾つかの好適な実施形態を参照して、本発明を詳細に説明する。以下の説明では、本発明を完全に理解するために、多数の具体的な詳細について述べる。しかしながら、こうした具体的な詳細の一部又は全部が無くとも、本発明を実現し得ることは、当業者には明らかであろう。また、周知の処理工程及び/又は構造については、本発明を不必要に曖昧にしないために詳細な説明は省略する。
本発明は、シリコン含有層を堆積させ、マスク対誘電体のエッチング選択性の向上を可能にし、及び/又は、ボーイングを低減して、より垂直なプロファイルを提供する、非エッチングステップを備えたエッチングを提供する。更に好ましくは、本発明は、垂直方向において直線な特徴プロファイル(側壁)と、限界寸法(CD)の制御とに加え、誘電層において、特徴幅に対する特徴深度の比が10:1より大きい高アスペクト比(HAR)特徴部のエッチングを可能にする、高いエッチング選択性を提供する。好ましくは、垂直な側壁とは、底部から最上部までが特徴部底部に対して88°乃至90°の角度を成す側壁である。
高い選択性により、マスクは維持され、より薄いマスクパターンが可能となり分解能が高まると共に、エッチプロファイルにおいて、望ましくない不規則な逸脱(ストリエーション)が防止される。
本発明のエッチング処理は、様々な実施形態により実現し得る。理解を容易にするため、図1は、本発明の一部の実施形態において使用し得る高レベルフローチャートである。マスクは、エッチング対象の層上に提供される(ステップ104)。図2Aは、基板上の窒化シリコン障壁層210の上にある、エッチング対象の誘電層208上に形成されたマスク204を示す。基板は、処理チャンバ内に配置される(ステップ106)。
図3は、本発明の好適な実施形態において使用し得る処理チャンバ300の概略図である。この実施形態において、プラズマ処理チャンバ300は、閉じ込めリング302と、上部電極304と、底部電極308と、ガスソース310と、排気ポンプ320とを備える。ガスソース310は、堆積ガスソース312と、エッチャントガスソース314と、追加ガスソース316とを備える。プラズマ処理チャンバ300内において、基板ウェーハ380は、底部電極308上に位置決めされる。底部電極308には、基板ウェーハ380を保持するために、適切な基板チャッキングメカニズム(例えば、静電、機械クランプ、その他)が組み込まれる。リアクタ上部328には、底部電極308と正反対に配置された上部電極304が組み込まれる。上部電極304と、底部電極308と、閉じ込めリング302とは、閉じ込めプラズマ容積340を定める。ガスは、ガスソース310により、ガス入口343を介して、閉じ込めプラズマ容積へ供給され、排気ポンプ320により、閉じ込めリング302及び排出口を介して、閉じ込めプラズマ容積から排出される。排気ポンプ320は、プラズマ処理チャンバのガス出口を形成する。第一のRFソース344は、上部電極304に電気的に接続される。第二のRFソース348は、下部電極308に電気的に接続される。チャンバ壁352は、閉じ込めリング302と、上部電極304と、下部電極308が内部に配置されるプラズマ筐体を定める。第一のRFソース344及び第二のRFソース348は、両方とも、60MHz電源、27MHz電源、及び2MHz電源を備えてよい。RF電力を電極に接続する異なる組み合わせも可能である。カリフォルニア州フレモントのLAM Research CorporationTM製の300mm Flex45フロントエンド誘電体エッチャは、本発明の好適な実施形態において使用し得る。コントローラ335は、第一のRFソース344と、第二のRFソース348と、排気ポンプ320と、堆積ガスソース312に接続された第一の制御バルブ337と、エッチャントガスソース314に接続された第二の制御バルブ339と、追加ガスソース316に接続された第三の制御バルブ341とに、制御可能に接続される。ガス入口343は、ガスソース312、314、316からのガスをプラズマ処理筐体内へ提供する。ガス入口343にシャワヘッドを接続してもよい。ガス入口343は、各ガスソースに対する単一の入口にしてよく、或いはガスソース毎に異なる入口にしてよく、或いは各ガスソースに対して複数の入口にしてよく、或いは他の可能な組み合わせにしてもよい。
図4A及び4Bは、コントローラ335として使用するのに適したコンピュータシステム400を例示している。図4Aは、コントローラ335として使用し得るコンピュータシステムの可能な物理的形態の一つを示している。当然ながら、コンピュータシステムは、集積回路、プリント回路基板、及び小型ハンドヘルドデバイスから巨大なスーパコンピュータまでの多数の物理的形態を有し得る。コンピュータシステム400は、モニタ402と、ディスプレイ404と、ハウジング406と、ディスクドライブ408と、キーボード410と、マウス412とを含む。ディスク414は、コンピュータシステム400との間でのデータの転送に使用されるコンピュータ読み取り可能な媒体である。
図4Bは、コンピュータシステム400のブロック図の例である。システムバス420には、広範なサブシステムが取り付けられる。プロセッサ(群)422(中央演算処理装置又はCPUとも呼ばれる)は、メモリ424を含む記憶装置に結合される。メモリ424は、ランダムアクセスメモリ(RAM)と読み取り専用メモリ(ROM)とを含む。この技術において周知であるように、ROMは、データ及び命令を単方向でCPUへ転送する役割を果たし、RAMは、通常、データ及び命令を双方向で転送するのに使用される。こうした種類のメモリは、両方とも、以下説明する任意の適切な種類のコンピュータ読み取り可能な媒体を含んでよい。固定ディスク426も、CPU422に双方向で結合され、追加的なデータ記憶容量を提供し、同じく以下説明する任意のコンピュータ読み取り可能な媒体を含んでよい。固定ディスク426は、プログラム、データ等を格納するのに使用してよく、通常は、一次記憶装置より低速な二次記憶媒体(ハードディスク等)である。固定ディスク426内に保持された情報は、適切である場合には、標準的な方法で、仮想メモリとしてメモリ424に組み込んでもよいことは理解されよう。リムーバブルディスク414は、以下説明する任意のコンピュータ読み取り可能な媒体の形態をとり得る。
CPU422は、ディスプレイ404、キーボード410、マウス412、及びスピーカ430等の様々な入出力デバイスにも結合される。一般に、入出力デバイスは、ビデオディスプレイ、トラックボール、マウス、キーボード、マイクロフォン、タッチセンシティブディスプレイ、トランスデューサカードリーダ、磁気又は紙テープリーダ、タブレット、スタイラス、音声又は手書き認識装置、生体認証リーダ、又は他のコンピュータの何れかにしてよい。CPU422は、随意的に、ネットワークインタフェース440を使用して、別のコンピュータ又は電気通信ネットワークに結合され得る。こうしたネットワークインタフェースにより、CPUは、上記の方法ステップを実行する過程で、ネットワークから情報を受領すること、或いはネットワークへ情報を出力することが考えられる。更に、本発明の方法の実施形態は、CPU422単独で実行し得るものであり、或いは、処理の一部を共有するリモートCPUと連動して、インターネット等のネットワーク上で実行し得る。
加えて、本発明の実施形態は、更に、コンピュータにより実現される様々な動作を実行するためにコンピュータコードを有するコンピュータ読み取り可能な媒体を備えたコンピュータストレージ製品に関する。媒体及びコンピュータコードは、本発明のために特別に設計及び構築されたものにしてよく、或いは、コンピュータソフトウェア技術に関する当業者に周知であり利用可能な種類のものにしてよい。コンピュータ読み取り可能な媒体の例には、一部として、ハードディスク、フレキシブルディスク、及び磁気テープといった磁気媒体と、CD−ROM及びホログラフィックデバイスといった光学媒体と、フロプティカルディスク等の光磁気媒体と、特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)、及びROM及びRAMデバイスといった、プログラムコードを格納及び実行するために特別に構成されたハードウェアデバイスとが含まれる。コンピュータコードの例には、コンパイラにより生成されるようなマシンコードと、インタプリタを使用してコンピュータで実行される高レベルコードを含むファイルとが含まれる。コンピュータ読み取り可能な媒体は、搬送波に埋め込まれたコンピュータデータ信号により送信され、プロセッサにより実行可能な命令のシーケンスを表すコンピュータコードにしてもよい。
保護シリコン含有層212は、図2Bに示したように、マスク204上に形成される(ステップ108)。保護シリコン含有層は、SiF4を含むシリコン前駆ガスを使用して形成するのが好適である。理論に縛られることなく、SiF4は、シリコン含有保護層として堆積させるシリコンと、シリコン層のプロファイルの何らかの成形をもたらすフッ素との両方を提供し、エッチング対象の特徴部の底部におけるエッチング停止を防止する。エッチングされる誘電体特徴部の底部よりも、マスキング材料及び特徴部側壁において優先的に多くの堆積量が形成されるように、堆積が非対称となるのが好適である。図2Bで確認できるように、マスク204の上部には、特徴部底部の誘電体表面よりも厚い保護シリコン層212が形成されている。好ましくは、図2Bに示したように、フッ素は、特徴部底部のシリコンを除去する。好適な実施形態において、堆積は、マスクの側壁に保護薄層を更に堆積させる化学蒸着(CVD)処理を使用して、エッチチャンバ内で原位置(in-situ)において実行される。好ましくは、堆積では、何らかのイオンエネルギを使用して、こうした堆積の選択性を可能にする。堆積の完了時には、堆積ガスの流れを停止させてよい。
別の実施形態では、シリコン保護層の厚さ及び空間分布を変えるために、処理条件を変化させてよい。例えば、エッチングの深度が大きくなるにつれ、その後のエッチングによる更なる歪み又はボーイングからエッチング構造を保護するために、エッチング構造の側壁では、より厚い被覆を形成することが望ましい場合がある。このために処理条件の変化を提供し得る。保護シリコン層の堆積とエッチングとは別個のステップであるため、保護シリコン含有層は、エッチング処理に干渉されることなく、この結果のために最適化してよい。
シリコン含有保護層のCVD堆積に使用し得る堆積化学物質の例は、限定するわけではないが、SiH4、Si(CH34、SiH(CH33、SiH2(CH32、SiH3(CH3)、Si(C254、SiF4、及び他のSi(OC254等の有機シリコン化合物である。こうした化学物質は、4:1以下のハロゲン対シリコン比を有することが好適である。SiF4は、不燃性であり、容易に入手可能であるか、半導体製造工場に存在するため、最も好適な前駆物質である。SiF4から堆積用にシリコンを遊離させるために、水素(H2)等のフッ素スカベンジャを堆積プラズマに追加する。SiF4の堆積のため、適切な量の水素H2が必要となる。SiF4流量に対するH2の比は、0.5〜5の範囲であり、好適な範囲は1.5〜2.5である。CH4及び/又はCF4等の炭素含有前駆物質を更に追加し、膜組成を変更することが可能である。マスク及びボーイング予防のため、堆積は、マスク及び特徴部の側壁上で共形となる必要がある。同時に、エッチング停止を防止するために、堆積は、特徴部底部において抑制又は阻害する必要がある。加えて、堆積は、ウェーハ表面全体で均一にする必要がある。
堆積させた保護層は、マスク上でアモルファスシリコン含有層(又は多形シリコン)となる。シリコン保護層は、何らかのF、C、及びH成分の存在により修飾される。Fの存在は、異なる材料表面での選択的活性をもたらし、一部の表面において堆積が優先的に発生し、他の表面では発生しないようになる。例えば、堆積は、エッチング誘電体特徴部の底部よりも、マスク表面と、エッチング特徴部の側壁とにおいて優先される。マスク表面での優先的堆積は、マスク保護をもたらし、エッチング選択性を高める。エッチング特徴部の側壁での優先堆積は、横方向のエッチングを抑制するため、エッチング特徴部のボーイングを最小化する。
シリコン含有保護層の堆積は、様々な材料の様々なエッチング用途のために、堆積ガスの異なる組み合わせを含み得る、エッチング処理における独立したステップであり、可能な多段階ガス切り替え手順を使用して、マスキング特徴部を含むエッチング特徴部の周囲に、シリコン含有保護被覆が堆積により提供される。このステップを達成するために、コントローラ335は、第一の制御バルブ337により、堆積ガスソース312からのSiF4含有堆積ガスが処理チャンバ300へ入るのを可能にすると同時に、第二の制御バルブ339により、エッチャントガスソース314からのエッチングガスが処理チャンバに入るのを防止する。コントローラ335は、更に、第一及び第二のRFソース344、348により供給される電力と、排気ポンプ320とを制御する。コントローラは、ウェーハ領域の圧力と、裏面He冷却圧力と、基板上のバイアスと、様々な温度とを制御するのにも使用し得る。
次に、マスク204を介して誘電層208がエッチングされ、図2Cに示したように、特徴部216が形成される。エッチングの用途は、一部として、誘電セルエッチング、誘電コンタクトエッチング(高アスペクト比コンタクト(HARC)又はダマシン)、導体トレンチエッチング(浅型又は深型)、自己整合コンタクトエッチング、ゲートマスク開口エッチング、コンタクトエッチング、誘電体経由エッチング、二重ダマシンビアエッチング、二重ダマシントレンチエッチング、導体ゲートエッチング、導体深トレンチエッチング、導体浅トレンチ分離エッチング、及びハードマスク開口を含む。好ましくは、エッチングでは高イオンエネルギを使用して、指向性エッチングを提供する。エッチングは、図示したように、保護シリコン含有層212の一部を除去してもよい。一部の表面では、保護層の全てを除去してもよい。本例では、マスク204において側壁を形成する保護層が除去されている。保護シリコン含有層の他の部分では、部分的にのみ除去してよい。本例では、マスク204の上面において、保護シリコン含有層212の一部のみが除去されている。別の実施形態では、保護層の別の部分を部分的にエッチングで除去するか、或いは完全にエッチングで除去してよい。このステップを達成するために、コントローラ335は、第一の制御バルブ337により、堆積ガスソース312からの処理チャンバ300への堆積ガスの流動を停止させると同時に、第二のバルブ339により、エッチャントガスソース314から処理チャンバへのエッチャントガスの流入を可能にする。コントローラ335は、第一及び第二のRFソース344、348により供給する電力を変更し、排気ポンプ320の設定を変更して、エッチングを調整し得る。コントローラは、更に、エッチング処理を調整するために、ウェーハ領域の圧力と、裏面圧力と、様々な温度とを変更するのに使用し得る。
特徴部が少なくとも部分的にエッチングされた後、更にエッチングするかを判断する(ステップ116)。これは、設定されたレシピにより、或いは測定を行うことにより、実行し得る。更なるエッチングが望ましい場合、処理サイクルは、ステップ108に戻り、図2Dに示したように、追加シリコン保護層218をマスクに堆積させる。本例では、古い保護層の残留部分が、新たな保護シリコン層218の一部となる。このステップでも、コントローラ335は、第一の制御バルブ337を開いて堆積ガスを提供し、第二の制御バルブ339を閉じて、エッチングガスの流入を停止する。コントローラ335は、堆積を調整するために他のパラメータも変更し得る。
特徴部は、その後、マスクを介して更にエッチングされ(ステップ112)、図2Eに示したように、更に深い特徴部216を提供する。このステップでも、コントローラ335は、第一の制御バルブ337を閉じて堆積ガスを停止し、第二の制御バルブ339を開いて、エッチングガスの流入を可能にする。コントローラ335は、エッチングを調整するために他のパラメータも変更し得る。
好ましくは、この堆積及びエッチングステップを交互に提供するサイクル又はループは、一回より多く繰り返される。好ましくは、このサイクルは、三回より多く繰り返される。好ましくは、このサイクルは、少なくとも五回繰り返される。このサイクルは、数十回繰り返してもよい。このサイクルは、100回繰り返すことが望ましい場合がある
別の実施形態では、マスクにシリコン保護層を堆積させるステップ108の前に、エッチングステップを追加してもよい。
好ましくは、エッチングと保護層の堆積とは、同じチャンバで実行されるが、異なるチャンバで実行されてもよい。堆積とエッチングとを同じチャンバで行うことで、堆積とエッチングとを迅速に循環し得る。
好ましくは、マスクは有機材料であり、有機材料は、フォトレジスト、ポリマ、又はアモルファスカーボンとして定義される。無機材料もマスクとして使用できる。誘電体エッチング用の無機材料マスクの例は、ポリシリコンマスク及び酸化金属マスクを含む。有機材料マスク用の材料の例は、限定するわけではないが、深紫外線フォトレジスト、193nmフォトレジスト、157nmフォトレジスト、EUVフォトレジスト、電子ビームフォトレジスト、及びX線フォトレジスト等の新世代フォトレジストと、アモルファスカーボン等の他の非リソグラフィマスクとを含む。旧世代フォトレジストポリマ材料は、必要な高エッチング耐性、即ち、エッチングガス混合物に対する化学的不活性を提供するために、C−C二重結合、更にはC−C三重結合等の不飽和C−C結合を含むように設計される。こうした結合は強く、切断に高い活性エネルギを必要とするため、比較的低いイオンエネルギにおいて、旧世代フォトレジストは、エッチングガス混合物に対して著しく低いエッチング速度を示す可能性がある。リソグラフィ露光波長において、こうした不飽和結合は吸収を行うため、193nm及び157nmを含む新世代フォトレジストは、こうした不飽和結合を含まず、フォトレジストエッチング耐性の大きな低減をもたらす。エッチング段階中にシリコン含有保護被覆をフォトレジスト上に提供することで、高いイオン衝撃エネルギにおいても、フォトレジストのエッチング耐性は大きく改善される。本発明がマスクのエッチング耐性を改善し得る高イオン衝撃エネルギは、50〜2,000eVとなり得る。更に好ましくは、イオン衝撃エネルギは、200〜1,500eVとなり得る。最も好ましくは、イオン衝撃エネルギは、500〜1,000eVとなり得る。
この実施形態では、原位置(in-situ)プラズマ化学処理を使用して、エッチング進行中に、マスクとエッチング特徴部の垂直側壁との強化及び/又は修復を行う。この実施形態では、ウェーハを所望の時間に渡ってエッチングプラズマに露出する前及び/又は後に、プラズマ化学堆積プロセスを短時間に渡って開始する。堆積処理は、その後のエッチングによる浸食からマスクを保護するために、マスクパターン上にシリコンの薄膜が形成されるように選択される。これにより、マスクパターンの表面組成は、マスクがシリコンハードマスクの特定の有益なエッチング特性を有する疑似ハードマスクに似た作用を示すように変化する。

単一のエッチング堆積エッチングサイクル
図5は、本発明の別の実施形態の高レベルフローチャートである。この実施形態において、フォトレジストマスクは、エッチング対象の層上に提供される(ステップ504)。図6Aは、基板上の窒化シリコン障壁層610の上にある、エッチング対象の誘電層608上に提供されたフォトレジストマスク604を示す。基板は、処理チャンバ内に配置される(ステップ506)。処理チャンバは、図3に示した処理チャンバ300にしてよく、或いは別の処理チャンバにしてもよい。特徴部は、図9に示したボーイング深度(dB)までエッチングされる(ステップ508)。ボーイング深度(dB)は、所望の特徴部/積層膜のエッチング処理(群)全体の完了後に、ボーイングが発生する深度である。ボーイング深度(dB)は、例えば、断面走査型電子顕微鏡(SEM)により決定できる。一例において、ボーイング深度は、0.2〜0.5μmで発見された。しかしながら、ボーイング深度は、積層膜の種類、エッチング深度、及びエッチング時間に依存するため、ボーイング深度は、様々な条件に応じて変化する。
保護シリコン含有層618は、図6Cに示したように堆積させる(ステップ512)。好適な実施形態において、保護シリコン層618は、SiF4を含む堆積ガスを提供することで形成される。プラズマは、堆積ガスから形成される。プラズマは、保護シリコン含有層618を堆積させる。以前の実施形態で説明したように、プラズマパラメータは、シリコンがマスクの上面に選択的に堆積し、マスク上面に最も厚い層が形成されるように調整し得る。プラズマは、より薄い層が特徴部の側壁に形成されるように、より低い選択性で特徴部の側壁に堆積させる。プラズマは、特徴部の底部に最も低い選択性で堆積させる。上記のように、SiF4と適切なプラズマパラメータとの使用により、図示したように、特徴部616の底部にシリコンが堆積しない状態にできると考えられる。特徴部のエッチングは、その後、図6Dに示したように完了させる(ステップ516)。マスクは、剥離させる(ステップ520)。

単一の堆積エッチングサイクル
図7は、本発明の別の実施形態の高レベルフローチャートである。この実施形態において、マスクは、エッチング対象の層上に提供される(ステップ704)。図8Aは、基板上の窒化シリコン障壁層810の上にある、エッチング対象の誘電層808上に提供されたマスク804を示す。基板は、処理チャンバ内に配置される(ステップ706)。処理チャンバは、図3に示した処理チャンバ300にしてよく、或いは別の処理チャンバにしてもよい。保護シリコン含有層818は、図8Bに示したように堆積させる(708)。以前の実施形態で説明したように、プラズマパラメータは、シリコンがマスクの上面に選択的に堆積し、フォトレジスト上面に最も厚い層が形成されるように調整し得る。プラズマは、より薄い層がマスクの側壁に形成されるように、より低い選択性でマスクの側壁に堆積させる。プラズマは、誘電層上面に最も低い選択性で堆積させる。上記のように、SiF4と適切なプラズマパラメータとの使用により、図示したように、誘電層808の上面にシリコンが堆積しない状態にできると考えられる。この実施形態では、特徴部が誘電層にエッチングされておらず、特徴部底部は、マスク特徴部の底部であり、誘電層の上面である。
この実施形態では、単一の主エッチングを用いて、図8Cに示したように、障壁層810に特徴部816を完全にエッチングし得る(ステップ712)。マスクは、その後、図8Dに示したように剥離させる(ステップ716)。
この実施形態において、保護シリコン含有層は、側壁のプロファイル保護ではなく、マスクを保護するために使用される。

利点
従来のPECVDにおいて、基板は、接地台座に置き、数百℃まで加熱する。RF電力を上部電極に提供することで、或いは誘導結合プラズマ(ICP)を使用することで、堆積プラズマを維持する。RF電力を供給した下部電極にウェーハを置き、上部電極を通常は接地し、或いは別の周波数で駆動させる一般的な反応性イオンエッチング(RIE)型プラズマエッチャには、こうした従来のPECVD方法は応用できない。更に、ウェーハ電極の加熱は、能動素子の熱収支及び損傷の問題から、一般に、100℃を十分に下回って限定される。SiF4に基づくPECVDは、RIE方式のプラズマでは、同時に発生するエッチング及びスパッタリングにより膜が継続的に除去されるため、十分な堆積が提供されないことを示唆している。最適な条件下では、意外にも、RIE方式のプラズマにより、十分な速度で堅牢な膜を堆積可能であることが発見された。更に、最適な量のRFバイアス電力(例えば、2MHz又は他の低周波電力であり、ここでの低周波電力とは5MHz未満と定義される)により、耐性均一性及び膜堅牢性を改善できる。最適な2MHz電力は、好ましくは0〜1000Wであり、更に好ましくは5〜500Wである。低バイアスエネルギを提供する他の方法も使用し得る。エッチング対象層は、誘電層(酸化シリコン等)、導電層(金属及びシリコン又は他の種類の半導体等)、又はハードマスク層(窒化シリコン及び酸窒化シリコン)にしてよい。導体層をエッチングするために、エッチングステップにおいて、塩素、フッ素、又は臭素等のハロゲンを使用してよい。
一部の実施形態での別の利点は、エッチングのテーパリング及びエッチングの停止が防止されることである。
更に、SiF4を含む保護層ガスへのH2の追加により、堆積処理の制御が可能になることが意外にも発見された。
本発明の好適な実施形態では、堆積ガスの成分の一部がエッチングガスの成分と混合されないことが望ましく、これは分離した堆積及びエッチ処理を有する効率が何らかの混合により減少するためである。そのため、コントローラは、あるガスが別のガスを追加する前に使い果たされるように、ガス流の時間を定めるべきである。上記実施形態において、SiF4は、エッチング中に提供されない。
別の不活性ガスを、アルゴンの代わりに、エッチング及び堆積の両方で搬送ガスとして使用してもよい。別の不活性ガスの例は、ヘリウム、ネオン、及び/又はキセノンである。
好適な実施形態において、誘電体エッチング中に、強い重合化学反応は必要ない。重合化の少ないエッチング化学反応は、テーパリング及びエッチング停止を回避するのに役立つ。
好適な実施形態において、一般的なHARフルオロカーボンエッチング化学反応は、CH3F又はCH22といった別個の強い重合成分を追加することなく使用し得る。

試験結果
次の試験を実施した。

試験1.ブランケットシリコンウェーハ堆積及びエッチングの特徴付け
この試験では、SiF4を使用してベアシリコンウェーハ上にシリコン含有膜を堆積させる方法を実証する。本例では以下の処理条件を使用した:ウェーハ領域圧力(WAP)100mTorr、60MHz500W、SiF4 100sccm、H2 190sccm、Ar 225sccm、供給ガス分布70%中心加重、下部電極温度60℃、上部電極温度100℃、内域及び外域共に裏面ヘリウム圧力30Torr、及び処理時間180秒。堆積膜は、KLA F5Xエリプソメータにより特徴付けした。この試験が提供するシリコン層堆積では、平均厚143.3nm、平均堆積速度47.8nm/分、及び19.7nm(13.7%)の範囲でウェーハ全体(16.1nm)において11.3%の3シグマ均一性となる。堆積膜には、その後、一般的なHAR酸化物エッチング処理を60秒間施した。エッチングしたウェーハは、新たな膜モデルにより、KLA F5Xエリプソメータで再び特徴付けした。結果として、シリコン層は、平均エッチング速度57.1nm/分と、27.1nm/分(47.6%)の範囲でウェーハ全体(20.8nm/分)において36.5%の3シグマ均一性とを有する。

試験2.RFバイアス2MHzでの堆積処理の比較
この試験では、2MHzで200WのRFバイアス電力を同時に印加したことを除き、処理条件を全て試験1と同じにした。この試験が提供するシリコン層堆積では、平均厚133.3nm、平均堆積速度44.43nm/分、及び10.4nm(7.8%)の範囲でウェーハ全体(8.7nm)において6.6%の3シグマ均一性となった。堆積膜には、その後、一般的なHAR酸化物エッチング処理を60秒間施した。エッチングしたウェーハは、新たな膜モデルにより、KLA F5Xエリプソメータで再び特徴付けした。結果として、シリコン層は、平均エッチング速度46.4nm/分と、5.6nm/分(12.0%)の範囲でウェーハ全体(3.5nm/分)において7.5%の3シグマ均一性とを有する。2MHzのRF電力の追加により、堆積均一性(3シグマにおける46%の低減)と、HAR酸化物エッチングに対する耐性(エッチング速度における23%の低減)との両方が大幅に改善された。

試験3.部分的にエッチングされたパターン形成済みウェーハでの堆積
この試験では、一般的なHAR酸化物エッチングプラズマにより既に300秒間エッチングしたウェーハに対して、試験2と同じ堆積処理を120秒間加えた。実施例3の断面走査型電子顕微鏡(SEM)分析の結果を、下の表1に記載する。比較のため、300秒間のエッチングのみの試料(比較例3)についても、分析して表1にまとめている。データは、ピンチオフ又は底部閉塞の全く無い上部CDの劇的な(44%)低減を示している。実際に、SiF4堆積処理の過程で穴深度が152nm延長されることが意外にも発見された。これは、高アスペクト比の穴においてエッチング停止を引き起こすことなくマスクCDを縮小する上で、SiF4に基づく堆積処理が有効な方法であることを実証している。
Figure 0005085997

試験4.パターン形成済みウェーハの堆積後エッチング
この試験では、試験3のウェーハを、同じ一般的なHAR酸化物エッチングプラズマにより100秒間更にエッチングし、エッチング処理全体を完了させた。その後、試料を酸素アッシングプラズマにより処理して、マスク層を除去し、SEM用に断面化した。結果(実施例4)を下の表2に記載する。比較のため、実施例4aは、SiF4堆積ステップ無しで、二段階エッチング(300秒+100秒)のHARエッチングを施した試料の結果を示している。表に示したように、第二の試料(エッチング−堆積−エッチング)において、ボーイングは、完全に近い形で除去されている。酸素アッシングプラズマにより、有機マスクは両試料で除去される。対照的に、無機シリコン含有不動態化層は、酸素アッシングプラズマ後も残存し、第二の試料のSEMにおいて明確に視認される。これは、我々のSiF4堆積処理により、マスクから、エッチングされた穴の深さ全体に渡って、共形のシリコン含有膜薄層が堆積することを示す直接的な証拠である。シリコンに基づく側壁不動態化膜は、望ましくない横方向エッチングに対する耐性を高めるため、プロファイルのボーイングを最小化する。本例は、部分的エッチング後にSiF4堆積を付与するのが効果的なボーイング予防方法であることを示している。
Figure 0005085997

試験5.継続的エッチング−堆積−エッチング処理
この試験では、単一のレシピ、即ち、一般的HARエッチング200秒、SiF4堆積60秒、及び一般的HARエッチング200秒の連続により、ウェーハを継続的に処理した。処理済みウェーハは、O2アッシングプラズマにより処理し、SEM用に断面化した。結果を下の表3に示す(実施例5)。400秒の継続的エッチングによる比較例(例5a)において、上部CDは、深刻なストリエーションのため148nmまで拡張した。深刻なストリエーションは、マスク層の損傷及び歪みの結果である。対照的に、実施例5のトップダウンSEMは、エッチング完了後、HARである穴のストリエーションの無い円形開口部を示している。表3は、更に、エッチング中にSiF4堆積ステップを加えた時に、エッチング後のマスクの残存が多いことを示している。上部のストリエーションに加え、比較例5aは、上部とボーイング深度との間に129nmのネッキング(狭小化)CD(図10のw4)を示す(図10参照)。対照的に、エッチング中にSiF4堆積を付与した試料では、ネッキングが見られない。本例は、HARエッチング処理間にSiF4堆積を付与することで、ボーイングの低減だけでなく、マスク層の保護も可能となり、したがって、ストリエーション、上部CD拡張、及びネッキングが低減できることを示している。
Figure 0005085997

試験6.上部CD縮小のための事前堆積
この試験では、単一のレシピにおいて、最初にウェーハを30秒間のSiF4堆積により処理し、その後、400秒間の一般的HAR酸化物エッチングにより処理する。結果を下の表4に示す。比較のため、SiF4の事前堆積の無い、直接的エッチングによるデータ(比較例5a)を同じく表4に記載する。表に示したように、エッチング前にSiF4堆積を30秒間加えることで、36nmのCD低減が達成された。更に、エッチング前SiF4堆積処理を行った試料では、マスクの残存量が増加した。これは、SiF4の事前堆積により、エッチング中にマスク層が保護されることを示している。
Figure 0005085997
図11は、本発明の実施形態の一つを用いてエッチングした特徴部1116の概略図である。図示したように、特徴部1116は、ボーイングを有しておらず、垂直なプロファイルを有している。
以上、本発明を幾つかの好適な実施形態により説明してきたが、本発明の範囲に含まれる変更例、置換物、及び代用等価物が存在する。更に、本発明の方法及び装置を実現する数多くの別の方法が存在することにも留意されたい。したがって、添付特許請求の範囲は、本発明の本来の趣旨及び範囲に入る全ての変更例、置換物、及び代用等価物を含むと解釈されるべきである。
本発明エッチング処理のフローチャートである。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の処理を用いた特徴部の形成の概略図である。 本発明を実施する際に使用し得るシステムの概略図である。 本発明を実施する際に使用し得るコンピュータシステムの概略図である。 本発明を実施する際に使用し得るコンピュータシステムの概略図である。 本発明の別の実施形態のフローチャートである。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の別の実施形態の更に具体的なフローチャートである。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の処理を用いた特徴部の形成の概略図である。 本発明の処理を用いた特徴部の形成の概略図である。 ボーイングを引き起こす処理を用いる従来技術においてエッチングされた特徴部の概略断面図である。 ボーイングを引き起こす処理を用いる従来技術においてエッチングされた別の特徴部の概略断面図である。 本発明の実施形態を用いてエッチングされた特徴部の概略断面図である。

Claims (17)

  1. 誘電層をエッチングして特徴部を形成する方法であって、
    前記誘電層上にマスクを形成するステップと、
    前記マスクの露出面に保護シリコン含有被覆を形成するステップと、
    前記マスク及び保護シリコン含有被覆を介して前記誘電層をエッチングすることによって前記特徴部を形成するステップと、を備え、
    前記保護シリコン含有被覆を形成するステップは、
    SiF4とH2とを含む保護被覆ガスを提供するステップと、
    前記保護被覆ガスをプラズマに変換するステップと、
    前記プラズマから前記保護シリコン含有被覆を堆積させるステップと、
    前記保護被覆ガスを停止するステップと、
    を含み、
    前記特徴部は、底部を有し、
    前記保護シリコン含有被覆を形成するステップは、前記特徴部の前記底部となる部分に前記保護シリコン含有被覆を堆積させない、方法。
  2. 前記マスク及び保護シリコン含有被覆を介して前記誘電層をエッチングするステップは、
    CH3F及びCH22を含まないエッチングガスを提供するステップと、
    前記エッチングガスからプラズマを形成するステップと、を含む、請求項1記載の方法。
  3. 更に、前記保護シリコン含有被覆を形成する前に、前記誘電層をボーイング深度まで部分的にエッチングするステップを備える、請求項2記載の方法。
  4. 前記保護シリコン含有被覆を形成するステップと、前記誘電層をエッチングするステップとは、少なくとも三回循環的に実行される、請求項2記載の方法。
  5. 前記保護シリコン含有被覆を堆積させるステップは、5〜500Wのバイアス電力を提供するステップを含む、請求項1記載の方法。
  6. 前記バイアス電力を提供するステップは、低周波RF信号を提供するステップを含む、請求項5記載の方法。
  7. 前記特徴部は、垂直なプロファイルを有する、請求項6記載の方法。
  8. 更に、前記保護シリコン含有被覆を形成する前に、前記誘電層をボーイング深度まで部分的にエッチングするステップを備える、請求項1記載の方法。
  9. 前記マスクは、有機材料マスクである、請求項1記載の方法。
  10. 誘電層をエッチングして特徴部を形成する方法であって、
    誘電層上にマスクを形成するステップと、
    前記誘電層をエッチングすることによって前記特徴部を部分的に形成するステップと、
    前記部分的に形成された特徴部の側壁に保護シリコン含有被覆を形成するステップと、
    前記誘電層をエッチングすることによって前記特徴部を完全に形成するステップと、を備え、
    前記保護シリコン含有被覆を形成するステップは、
    SiF4とH2とを含む保護被覆ガスを提供するステップと、
    前記保護被覆ガスをプラズマに変換するステップと、
    前記プラズマから前記保護シリコン含有被覆を堆積させるステップと、
    前記保護被覆ガスを停止するステップと、
    を含み、
    前記特徴部は、底部を有し、
    前記保護シリコン含有被覆を形成するステップは、前記特徴部の前記底部となる部分に前記保護シリコン含有被覆を堆積させない、方法。
  11. 前記誘電層をエッチングすることによって前記特徴部を部分的に形成するステップでは、前記誘電層をボーイング深度までエッチングする、請求項10記載の方法。
  12. 前記保護シリコン含有被覆を堆積させる前記ステップは、5〜500Wのバイアス電力を提供するステップを含む、請求項10記載の方法。
  13. 前記バイアス電力を提供するステップは、低周波RF信号を提供するステップを含む、請求項12記載の方法。
  14. 前記特徴部は、垂直なプロファイルを有する、請求項13記載の方法。
  15. 前記マスクは、有機材料マスクである、請求項10記載の方法。
  16. 前記誘電層をエッチングすることによって前記特徴部を完全に形成するステップは、
    CH3F及びCH22を含まないエッチングガスを提供するステップと、
    前記エッチングガスからプラズマを形成するステップと、を含む、請求項10記載の方法。
  17. マスクの下に配置された誘電層に特徴部を形成する装置であって、
    プラズマ処理チャンバ筐体を形成するチャンバ壁と、
    前記プラズマ処理チャンバ筐体内で基板を支持する基盤支持部と、
    前記プラズマ処理チャンバ筐体内の圧力を調整する圧力調整器と、
    プラズマを維持するために前記プラズマ処理チャンバ筐体に電力を提供する少なくとも一個の電極と、
    前記プラズマ処理チャンバ筐体内にガスを提供するガス入口と、
    前記プラズマ処理チャンバ筐体からガスを排出するガス出口と、
    を有するプラズマ処理チャンバと、
    シリコン含有堆積ガスソースと、
    エッチングガスソースと、
    を有し、前記ガス入口と流体的に連絡するガスソースと、
    少なくとも一個のプロセッサと、
    コンピュータ読み取り可能な媒体と、
    を有し、前記ガスソース及び前記少なくとも一個の電極に制御可能に接続されたコントローラと、
    を備え、
    前記コンピュータ読み取り可能な媒体が、
    前記シリコン含有ガスソースからシリコン含有堆積ガスを提供するためのコンピュータ読み取り可能なコード、
    前記シリコン含有堆積ガスからプラズマを形成するためのコンピュータ読み取り可能なコード、
    前記マスクの露出面において、前記プラズマから保護シリコン含有被覆を堆積させるためのコンピュータ読み取り可能なコード、及び
    前記シリコン含有ガスソースから前記シリコン含有堆積ガスを提供するステップを終了するためのコンピュータ読み取り可能なコード、
    を含み、前記マスクの露出面に保護シリコン含有被覆を形成するためのコンピュータ読み取り可能なコードと、
    前記エッチガスソースからエッチガスを提供するためのコンピュータ読み取り可能なコード、
    前記エッチガスから、前記誘電層をエッチングするプラズマを形成するためのコンピュータ読み取り可能なコード、及び
    前記エッチガスソースから前記エッチガスを提供するステップを終了するためのコンピュータ読み取り可能なコード、
    を含み、前記マスク及び保護シリコン含有被覆を介して前記誘電層をエッチングすることによって前記特徴部を形成するためのコンピュータ読み取り可能なコードと、
    を含み、
    前記特徴部は、底部を有し、
    前記保護シリコン含有被覆を形成するためのコンピュータ読み取り可能なコードは、前記特徴部の前記底部となる部分に前記保護シリコン含有被覆を堆積させない、装置。
JP2007214211A 2006-08-22 2007-08-21 プラズマエッチング性能強化方法及び装置 Active JP5085997B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/508,725 2006-08-22
US11/508,725 US7977390B2 (en) 2002-10-11 2006-08-22 Method for plasma etching performance enhancement

Publications (3)

Publication Number Publication Date
JP2008060566A JP2008060566A (ja) 2008-03-13
JP2008060566A5 JP2008060566A5 (ja) 2010-09-24
JP5085997B2 true JP5085997B2 (ja) 2012-11-28

Family

ID=39129128

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007214211A Active JP5085997B2 (ja) 2006-08-22 2007-08-21 プラズマエッチング性能強化方法及び装置

Country Status (6)

Country Link
JP (1) JP5085997B2 (ja)
KR (1) KR101468213B1 (ja)
CN (1) CN101131927A (ja)
MY (1) MY148830A (ja)
SG (1) SG140538A1 (ja)
TW (1) TWI453814B (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5662079B2 (ja) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
JP6001940B2 (ja) * 2012-07-11 2016-10-05 東京エレクトロン株式会社 パターン形成方法及び基板処理システム
US20140051256A1 (en) * 2012-08-15 2014-02-20 Lam Research Corporation Etch with mixed mode pulsing
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN104616956B (zh) * 2013-11-05 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备及方法
JP6331452B2 (ja) * 2014-02-19 2018-05-30 愛知製鋼株式会社 有機膜のエッチング方法
JP6549765B2 (ja) * 2014-06-16 2019-07-24 東京エレクトロン株式会社 処理方法
CN105336665B (zh) * 2014-06-19 2019-01-29 中芯国际集成电路制造(上海)有限公司 基于超低k电介质的互连结构的制造方法及制造的产品
JP2017098478A (ja) 2015-11-27 2017-06-01 東京エレクトロン株式会社 エッチング方法
JP6584339B2 (ja) * 2016-02-10 2019-10-02 Sppテクノロジーズ株式会社 半導体素子の製造方法
JP6770848B2 (ja) 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
JP6784530B2 (ja) 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
KR102362462B1 (ko) 2016-03-29 2022-02-14 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR102549308B1 (ko) 2016-03-29 2023-06-30 도쿄엘렉트론가부시키가이샤 에칭 장치
US10658194B2 (en) * 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
CN106856163A (zh) * 2016-11-22 2017-06-16 上海华力微电子有限公司 一种高深宽比图形结构的形成方法
CN114137803A (zh) * 2016-12-02 2022-03-04 Asml荷兰有限公司 改变蚀刻参数的方法
JP6415636B2 (ja) * 2017-05-25 2018-10-31 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP7037384B2 (ja) * 2018-02-19 2022-03-16 キオクシア株式会社 半導体装置の製造方法
JP2020064924A (ja) * 2018-10-16 2020-04-23 東京エレクトロン株式会社 窒化膜の成膜方法および半導体装置の製造方法
JP7174634B2 (ja) 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
WO2020121540A1 (ja) * 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置
JP7235864B2 (ja) * 2019-02-11 2023-03-08 長江存儲科技有限責任公司 保護層のin-situ形成を伴う新規のエッチング処理
JP7422557B2 (ja) * 2019-02-28 2024-01-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP7390199B2 (ja) 2020-01-29 2023-12-01 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
JP2022150973A (ja) 2021-03-26 2022-10-07 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN116997995A (zh) * 2022-03-02 2023-11-03 株式会社日立高新技术 等离子处理方法
CN115513051B (zh) * 2022-11-04 2023-02-10 合肥晶合集成电路股份有限公司 硬掩模层返工方法及dmos形成方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JPH08195380A (ja) * 1995-01-13 1996-07-30 Sony Corp コンタクトホールの形成方法
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7169701B2 (en) * 2004-06-30 2007-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene trench formation to avoid low-K dielectric damage
TWI255502B (en) * 2005-01-19 2006-05-21 Promos Technologies Inc Method for preparing structure with high aspect ratio

Also Published As

Publication number Publication date
KR20080018110A (ko) 2008-02-27
MY148830A (en) 2013-06-14
CN101131927A (zh) 2008-02-27
SG140538A1 (en) 2008-03-28
TW200818313A (en) 2008-04-16
TWI453814B (zh) 2014-09-21
KR101468213B1 (ko) 2014-12-03
JP2008060566A (ja) 2008-03-13

Similar Documents

Publication Publication Date Title
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
US7977390B2 (en) Method for plasma etching performance enhancement
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6833325B2 (en) Method for plasma etching performance enhancement
JP4971978B2 (ja) ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法
JP5087271B2 (ja) ガス化学反応の周期的変調を用いたプラズマエッチング方法
EP1856717A2 (en) Stabilized photoresist structure for etching process
US7682516B2 (en) Vertical profile fixing
WO2006130319A2 (en) Critical dimension reduction and roughness control
KR20080093392A (ko) 제어된 임계 치수 수축의 에칭 처리
US8642482B2 (en) Plasma etching method, control program and computer storage medium
TWI692809B (zh) 蝕刻方法
TWI737785B (zh) 被處理體之處理方法
TWI722187B (zh) 蝕刻方法
TWI766866B (zh) 蝕刻方法
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
TWI713486B (zh) 蝕刻方法(二)
JP6840041B2 (ja) エッチング方法
TWI489549B (zh) 可減少損壞之低介電常數介電層蝕刻方法
JP2021028959A (ja) エッチング方法及び基板処理装置
WO2006019849A1 (en) Low-k dielectric etch

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100804

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100804

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120508

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120724

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120814

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120906

R150 Certificate of patent or registration of utility model

Ref document number: 5085997

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150914

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250