JP4971978B2 - ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法 - Google Patents

ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法 Download PDF

Info

Publication number
JP4971978B2
JP4971978B2 JP2007515400A JP2007515400A JP4971978B2 JP 4971978 B2 JP4971978 B2 JP 4971978B2 JP 2007515400 A JP2007515400 A JP 2007515400A JP 2007515400 A JP2007515400 A JP 2007515400A JP 4971978 B2 JP4971978 B2 JP 4971978B2
Authority
JP
Japan
Prior art keywords
gas
protective layer
phase
stripping
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007515400A
Other languages
English (en)
Other versions
JP2008502146A (ja
JP2008502146A5 (ja
Inventor
ユン・セオクミン
チュ・ジ
シリグリアノ・ピーター
リー・サンヘオン
チョウイ・トーマス・エス.
ラーベンハルト・ピーター
ウィルコックスソン・マーク・エイチ.
サジャディ・レザ
ハドソン・エリック・エー.
ティーチェ・ジェイムズ・ブイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2008502146A publication Critical patent/JP2008502146A/ja
Publication of JP2008502146A5 publication Critical patent/JP2008502146A5/ja
Application granted granted Critical
Publication of JP4971978B2 publication Critical patent/JP4971978B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Description

本願は、2003年4月9日に出願されたHudsonらによる「METHOD FOR PLASMA ETCHING USING PERIODIC MODULATION OF GAS CHEMISTRY」米国特許出願第10/411,520号の部分継続出願であり、ここで参照によって援用される。
本発明は、フォトレジストマスクによって定義される構造を通してエッチングすることによって半導体ウェーハ上の構造を得て、それからそのマスクを剥離(strip)する方法に関する。
半導体プラズマエッチングの応用例において、プラズマエッチャは、マスクパターンを、回路およびウェーハ上の所望の薄膜および/または膜積層物(導体または誘電体絶縁物)のラインパターンに転写するために通常用いられる。これは、マスクパターンの開口領域におけるフォトレジスト材料の下の膜(または膜積層物)をエッチングして除去することによって達成される。このエッチング反応は、リアクタまたはプロセスチャンバとも呼ばれる真空容器内に含まれる反応剤混合物中の電気放電を励起することによって発生された化学的にアクティブな種および電気的に帯電した粒子(イオン)によって開始されえる。加えて、イオンは、ウェーハ材料に向かってガス混合物およびウェーハ材料間で作られた電界中を加速もされえ、異方性エッチングと呼ばれるようなやり方でイオンの軌跡の方向に沿ったエッチング材料の方向性除去を行う。エッチングシーケンスの終わりにおいて、マスキング材料は、それらを剥がすことによって、元々意図されたマスクパターンの水平パターンのレプリカをその場所に残すように除去される。エッチングプロセスのあいだ、マスク材料は、パターン転写と引き換えに、通常、腐食され、および/またはダメージを受ける。その結果、ダメージおよび腐食の一部も、下にある層へと転写されえ、ストライエーション、CD拡大、ファセッティングなどのような、この不要なパターン歪みを残す。
加えて、低k誘電体材料(k<3.0)については、フォトレジストの剥離のあいだ、損傷が低k誘電体材料にも起こりえ、これはk値を増加しえる。このような剥離プロセスにおいては、剥離プロセスのあいだのこのような損傷を制限するのが望ましい。
上述のことを達成するために、本発明の目的によれば、基板上のフォトレジストエッチングマスクを通して低k誘電体層中にフィーチャをエッチングする方法が提供される。低k誘電体層を基板上に設けられる。パターン付けされたフォトレジストマスクを前記低k誘電体層上が設けられる。少なくとも1つのフィーチャが前記低k誘電体層中にエッチングされる。単一のフォトレジストマスクを剥離するガス変調された周期的剥離プロセスが3サイクルより多く行われる。それぞれのサイクルは、保護層形成フェーズおよび剥離フェーズを実行することを含む。第1ガスを用いて保護層形成フェーズを行うことは、前記保護層形成フェーズはそれぞれのサイクルについて約0.005〜10秒行われ、前記第1ガスを提供すること、および前記第1ガスからプラズマを形成することであって、保護層は前記少なくとも1つのフィーチャの側壁上に形成され、前記保護層の厚さが増加される、前記第1ガスからプラズマを形成することを含む。第2ガスを用いて前記フォトレジストマスクを剥離する剥離フェーズは、前記第1ガスは前記第2ガスとは異なり、前記エッチングフェーズはそれぞれのサイクルについて約0.005〜10秒行われ、前記第2ガスを提供すること、および前記第2ガスからプラズマを形成することであって、前記保護層の厚さが減少され、前記フォトレジストマスクの一部は剥離される、前記第2ガスからプラズマを形成することを含む。
本発明の他の実施形態においては、基板上の単一層の少なくとも一部を除去する方法が提供される。ガス変調された周期的プロセスは、3サイクルより多く実行される。このガス変調された周期的プロセスは、堆積ガス化学物質を持つ第1ガス化学物質を用いて保護層形成フェーズを行うことを含み、前記保護層形成フェーズはそれぞれのサイクルについて約0.005〜10秒行われ、除去ガス化学物質を用いた第2ガス化学物質を用いて、前記単一層の少なくとも一部を除去する除去フェーズを含み、前記第1ガス化学物質は前記第2ガス化学物質とは異なり、前記除去フェーズはそれぞれのサイクルについて約0.005〜10秒行われる。保護層形成フェーズは、前記第1ガス化学物質を提供すること、および前記第1ガス化学物質からプラズマを形成することであって、前記プラズマは形成される保護層を生じ、前記保護層の厚さを増加する、前記第1ガス化学物質からプラズマを形成することを含む。除去フェーズは、前記第2ガス化学物質を提供すること、および前記第2ガス化学物質からプラズマを形成し、前記単一層の少なくとも一部を除去することを含む。
本発明のこれらのおよび他の特徴は、本発明の詳細な説明において以下の図面を参照して以下により詳細に記載される。
本発明は、例によって示され、限定によって示されず、添付図面の図において、同様の参照番号は同様の要素を表す。
本発明は、添付の図面に示されるようにそのいくつかの好ましい実施形態を参照して詳細に記載される。以下の記載で、本発明を完全に理解することを促すために多くの具体的な詳細が述べられる。しかし当業者には、これら具体的な詳細の一部または全てがなくても本発明が実施できることは明らかだろう。そうでなければ、本発明の趣旨を不必要にぼかさないために、よく知られたプロセスステップおよび/または構成は詳細に記載されていない。
議論を促進するために、図1は、本発明の実施形態で用いられる低k誘電体層のエッチングプロセスのフローチャートである。好ましくは、低k誘電体層は、有機珪酸塩ガラス(OSG)である。図2A〜Cは、図1のプロセスによる低k誘電体層の概略側面図である。低k誘電体層204は、図2Aに示されるように基板208上に堆積されえる(ステップ104)。基板208は、シリコンウェーハまたは他のタイプの材料でありえ、またはウェーハ上の層の一部でありえる。キャップ層212は、低k誘電体層204上に形成される(ステップ108)。キャップ層212は、シリコン酸化物でありえる。一般に、キャップ層は、誘電体材料の保護層である。キャップ層212は、低k誘電体層204を化学機械研磨(CMP)および他のプロセスのあいだ保護する。キャップ層は最終生成物の一部であるので、キャップ層212は低k誘電体でありえる。好ましくは、キャップ層は、シリコン酸化物ベースの材料である。キャップ層は、好ましくは約200Åおよび約1000Åの間の厚さを有する。他の実施形態において、1つより多いキャップ層が存在してもよく、またはキャップ層が存在しなくてもよい。反射防止コーティング(ARC)214がキャップ層212上に堆積される(ステップ112)。反射防止コーティング(ARC)214は、有機底部反射防止コーティング(BARC)または無機誘電体反射防止コーティング(DARC)でありえる。ARCは、約100Åおよび約1000Åの間の厚さを有する。パターン付けされたレジストマスク216がARC214上に設けられる(ステップ116)。パターン付きレジストマスク216は、開口220を有する。パターン付きレジストマスクは、フォトレジストの層を置くことによって形成されえ、このフォトレジスト層が光パターンに露光され、それからエッチングされる。パターン付きマスクを形成する他の方法も用いられえる。基板208は、コンタクト209およびバリア層210を有しえる。
基板208は、エッチングチャンバ内に置かれえ、ここでは低k誘電体層204がエッチングされる(ステップ120)。低k誘電体層204をエッチングするためにプラズマドライエッチングが用いられえ、図2Bに示されるように、これはパターン付きレジストマスク216中のアパーチャ220の下に開口224を形成する。パターン付きレジストマスク216の一部は、低k誘電体層エッチングのあいだに除去される。このような低k誘電体エッチングは、フッ素ベースのエッチング剤を用いるような化学エッチングを用いえる。さまざまなエッチングプロセスが用いられえるが、そのようなエッチングの特定の例が以下に説明される。
ガス変調された周期的剥離プロセスがそれから行われ、低k誘電体層を損傷することなく、フォトレジストマスク216およびARC層214を除去する(ステップ124)。もしARC層がDARCであるなら、除去される必要はない。この例で示されるフォトレジストマスク216は、単一のフォトレジスト層から形成される単一のフォトレジストマスクである。
本発明のある実施形態においては、フォトレジスト216が剥離される前または後にバリア層210に開口が設けられる。
エッチングプロセス
本発明のある実施形態において、低k誘電体をエッチングするステップのあいだ、フィーチャの側壁上に保護層が形成されえる。10nm厚以上のオーダーである側壁パッシベーション層のような保護膜を形成し、それからエッチングすることは、保護膜をパッシベーション層として用いながらも、ストライエーションおよびファセッティングを起こしえると考えられる。理論に拘束されることなく、このような厚さの層は、ストライエーションに対する所望の保護を提供するには充分には適合しないと考えられる。本発明によって提供される薄膜保護層は大きくストライエーションを低減すると考えられる。このような薄膜保護層はファセッティングをも低減しえる。これはCD拡大を低減し、CD制御またはCDバイアスの制御を提供するとも考えられ、ここでCDバイアスとはエッチング中のCD変化として定義される。
本実施形態においては、in-situのガス変調された(gas-modulated)サイクリックエッチングプロセスが保護層形成フェーズおよびエッチングフェーズの間で交互に変わり、それによって不要に簡単さおよびコスト効率を犠牲にすることなく、全体のエッチングパフォーマンスを向上させる方法が提供される。この変調は、具体的には、組成および/またはプロセスフィードガスのフローレシオのサイクリックな変化を含み、RF電力、ガス圧、および温度における同期された変化をも含みえる。サイクリックなプロセスは、総サイクル時間によって、およびサイクル時間レシオによって特徴付けられ、このレシオは、保護層形成フェーズのための時間およびエッチングフェーズのための時間の間の比である。
2002年11月14日に出願されたHuangらによる「METHOD FOR PLASMA ETCHING PERFORMANCE ENHANCEMENT」と題され、全ての目的のために参照によって援用される米国特許出願第10/295,601号は、エッチングの進行中にマスクおよび/またはエッチング形状の垂直側壁を改善および/または修復するためにin-situのプラズマプロセスが用いられえることを開示する。このようなプロセスにおいて、ウェーハが所望の期間だけエッチングプラズマに露出される前および/または後に、短い期間のプラズマ化学プロセスステップが開始される。
本実施形態においてはこのアプローチは、マスクおよび側壁を保護する役目を担うプロセスステップが、互換性のあるエッチングフェーズと交互して、ガス変調されたサイクリックなプロセスの1つのフェーズとして導入されるよう、変更される。
保護層形成プロセスは、材料の薄膜が、エッチング腐食、ファセッティング、およびストライエーションを防ぐために、マスクおよび/またはエッチングされている薄膜の側壁の表面上に形成されるよう選択されえる。この薄膜コーティングは、最終的な除去を簡単にするために、その後の剥離プロセスと互換性のある材料でありえるが、マスク材料よりはよりエッチング耐性がある。例えば、保護されたマスク形状がその後のエッチングプロセスによって簡単には腐食されないように、他の元素を非常に少ししか含まないか全く含まない、炭素が豊富な薄膜はフォトレジストマスクをコーティングするために用いられえる。換言すれば、それは、マスクが、アモルファスカーボンハードマスクのある種の有益なエッチング特性を有する、疑似ハードマスクのように振る舞うように、マスクパターンの表面組成を変化しえる。代替として、層形成プロセスは、マスクパターン上の薄膜コーティングの形成が、以前のエッチングプロセスによって損傷/腐食されたマスクパターンを大きく補償および/または修復するようにも用いられえる。後続のエッチング反応に対してコーティングが比較的不活性であることは、エッチングステップで得られる微妙なバランスを変化させないために有益である。代替として、薄膜コーティングは、側壁にスムーズに形状一致して覆うことを提供するプロセス条件を用いて作られえ、粗いおよび/またはでこぼこの側壁ポリマーコーティングによるストライエーションの開始を防ぐ。
エッチングガス混合物は、エッチング化学反応においてパッシベーションガスに関連付けられた効能を失わないように、エッチャント種およびパッシベーション種を含みえる。パッシベーション成分に対するエッチング成分の比は、複数の他のプロセス条件と併せて、フォトレジスト選択性、エッチング異方性およびエッチングレートなどのような最適なプロセス結果を達成するために微妙にバランスがとられる。電気放電パワーは、高く維持されえ、荷電粒子のエネルギーも、小さい寸法の構造において高いエッチングレートおよび良好な異方性を得るために高く維持される。保護層形成およびエッチングサイクルは、エッチングタスクが完了するまで多数回繰り返される。
理解を促すために図3は、本発明の実施形態における低k誘電体層をエッチングするステップ(ステップ120)のより詳細なフロー図である。ガス変調されたサイクリックエッチングプロセスのあいだ、プロセスチャンバは、少なくとも2つのフェーズの間で変調する。1つのフェーズは、保護層を形成するのに最適化されたステップである(ステップ316)。もう1つのフェーズは、エッチングに最適化されたステップである(ステップ326)。これらフェーズ間での交互の変化は、ガスフローレート、おそらくはRF源、表面温度、およびガス圧の同期された変調によって達成される。好ましい実施形態において、総サイクル時間は、約21秒より大きくはない。より具体的には、総サイクル時間は、0.01から10秒において実行される。最も好ましくは、総サイクル時間は、0.5から5秒において実行される。好ましくは、サイクル時間レシオ(保護:エッチング)は、0.01および20の間である。より好ましくは、サイクル時間レシオ(保護:エッチング)は、0.05および5の間である。最も好ましくは、サイクル時間レシオ(保護:エッチング)は、0.2および1の間である。好ましくは、ガス変調は、約3から50,000サイクルの間で実行される。より好ましくは、ガス変調は、約20から1,000サイクルの間で実行される。最も好ましくは、ガス変調は、少なくとも約100サイクル実行される。
保護層を形成するために最適化されたフェーズ(ステップ316)のあいだ、保護層がエッチングされた形状の側壁上に、およびおそらくはエッチングマスクの上部上に堆積される。この堆積は、堆積の量が側壁上よりはマスキング材料上において優先的により多く形成されるよう非対称でありえる。これは、選ばれた堆積プロセスの選択性の性質と併せて、堆積源に対する位置の視線によって助けられえる。換言すれば、堆積化学反応は、材料の化学的反応性の違いによりマスキング材料上にコーティングが優先的に実行されるように選ばれえる。好ましい実施形態において、堆積は、プラズマエンハンスト化学気相成長(CVD)プロセスを用いて、エッチングチャンバ内でin-situで行われ、これは薄膜保護層をフォトレジストの側壁上に堆積する。堆積プロセスは、そのような堆積の選択性を可能にするためにある程度のイオン衝突エネルギーを適用しえる。そのようなプロセスにおいて、側壁の厚さは、マスクの上部上の層の厚さの約2/3でありえる。
他の実施形態において、処理条件は、保護層の厚さおよび空間的分布を変えるために、エッチング前面がエッチングされている材料を通して進むに従って変更されえる。例えば、後続のエッチングによるさらなる歪みから側壁を保護するために、エッチングがより深く進むにつれて、エッチングされている膜の側壁上により厚いコーティングを形成することが望ましいかもしれない。エッチングが進むにつれてのサイクリック処理条件の変更は、このために提供しえる。層形成およびエッチングは、サイクルの別個のフェーズであるので、層形成フェーズのためのプロセス条件は、エッチングフェーズに干渉することなく、この結果のために最適化されえる。代替として、総サイクル時間および/またはサイクル時間レシオは、この変更を提供するために、個別のフェーズについてのプロセスパラメータについての変更なしに、エッチングが進むにつれて調整されえる。他の好ましい実施形態において、保護層は、側壁上にだけ堆積されえる。
保護層形成フェーズのあいだ、堆積ガスのフッ素対炭素比は、2:1より大きくはない。プラズマエンハンストCVDに用いられえる堆積化合物の例は、以下に限定されないが、CH3F、CH2F2、C2H5F、C3H7F、C2H3F、CH4、C2H4、C2H6、C2H2、C3H8、およびSiH4、Si(CH3)4、Si(C2H5)4でありえる。これら化合物はハロゲンがなく、または炭素に対するハロゲンの比が2:1より大きくないことが好ましい。理論によって限定されることなく、炭素ベースの化合物は、薄膜エッチング耐性アモルファス炭素層を形成すると考えられている。シランSiH4は、アモルファスシリコン層(または多結晶シリコン層)をフォトレジスト上に形成するのに用いられえる。加えて、保護層は、FおよびH成分の存在下で変更されてもよい。他の成分の存在、例えばFは、適切なイオン衝突の下で、フォトレジストマスク材料上には堆積が起こり、SiO2層上には起こらないような、ある材料上には優先的に堆積が起こり、他の材料上には堆積が起こらないように、異なる材料表面上に選択的反応を生むために用いられえる。保護層を形成するために、スパッタリングのような他の方法が用いられえる。
表1は、本発明の実施形態においてサイクリックプロセスの保護層形成フェーズにおいて用いられえるいくつかのパラメータの表である。
Figure 0004971978
この実施形態において、RF電力発生器によって供給されるラジオ周波数(RF)電圧を印加することによってウェーハ材料を保持する基板上に電気的陰性が形成されえる(それによりバイアスをウェーハに印加する)。これは、RF電圧の大きさによって制御された電気的陰性によって決定されるエネルギーにおいて、陽に帯電した粒子を電気的にバイアスされた基板へと導く効果を有する。したがって、基板ホルダに印加されるRF電力(よってRF電圧)を制御することによってイオン衝突エネルギーを供給および変化させることが可能である。
保護層形成フェーズ(ステップ316)は、周期的エッチングプロセス(ステップ312)中の独立したフェーズであり、これは異なる材料の異なるエッチング応用例のために必要とされる堆積ガスの異なる組み合わせを含みえ、ここでこの堆積は、マスキング形状を含むエッチング形状の周りに保護コーティングを提供しえる。好ましくは、このフェーズのために費やされるサイクルの時間は、約0.005から7秒である。より好ましくは、このフェーズのために費やされるサイクルの時間は、約0.05から5秒である。最も好ましくは、このフェーズのために費やされるサイクルの時間は、約0.25から2.5秒である。好ましくは、単一の保護層形成フェーズの期間にわたって上部および/または側壁上に100Å未満の厚さを持つ層が形成される。より好ましくは、単一の保護層形成フェーズの期間にわたって上部および/または側壁上に約0.1および50Åの間の層が形成される。最も好ましくは、単一の保護層形成フェーズの期間にわたって上部および/または側壁上に約1および10Åの間の層が形成される。約10Å未満層厚の場合、この範囲は、モノレイヤの一部としてより正確に記述されえる。ある実施形態において、保護層は、単一の保護層形成フェーズの期間にわたって、単一のモノレイヤを形成する。他の実施形態において、保護層は、単一の保護層形成フェーズの期間にわたってサブモノレイヤを形成し、これは、単一の原子または分子層で表面を完全には覆わず、その代わりに、表面範囲のうちのあるパーセンテージ(すなわち75%)を提供しえる層である。
エッチングフェーズ320は、サイクリックエッチングプロセス312中の独立したフェーズであり、これは、エッチング前面を進めて、少なくとも1つのエッチング形状を作るために実行される(ステップ320)。エッチング応用例は、以下に限定されないが、高アスペクト比(HARC)を含む誘電体コンタクトエッチング、ダマシンエッチング、誘電体トレンチエッチング(シャロウまたはディープ)、セルフアラインコンタクトエッチング、ゲートマスクオープンエッチング、バイア誘電体エッチング、デュアルダマシンバイアエッチング、デュアルダマシントレンチエッチング、導電体ゲートエッチング、導電体ディープトレンチエッチング、導電体シャロウトレンチアイソレーションエッチング、およびハードマスク開口を含みえる。
好ましくは、エッチングフェーズは、方向性エッチングを提供するために高イオンエネルギーを用いる。このエッチングフェーズは、単一のエッチングフェーズの期間にわたって保護層の一部または全てを除去するかもしれない。一部の表面上の全ての保護層が、単一のエッチングフェーズの期間にわたって除去されるかもしれない。この例では、フォトレジスト上において、および形状の底部において側壁を形成する保護層が除去されている。保護層の他の部分は、部分的に除去されるだけでありえる。この例では、フォトレジストの上部表面上の保護層の一部だけが除去されている。他の実施形態において、保護層の他の部分は、エッチングされて部分的に取り除かれ、またはエッチングされて完全に取り除かれている。エッチングフェーズは、エッチングされるべき層の一部を除去し、エッチング前面を進める。
サイクリックプロセスのエッチングフェーズは、方向性エッチングを提供するために高エネルギーイオンを使うので、ポリマー形成物ガスがエッチングフェーズのあいだに提供されえる。ポリマー形成物ガスは、例えば、C4F6、C4F8、CH3F、CH2F2、CH4、C3F6、C3F8、およびCHF3のような炭化水素、フッ化炭素、およびハイドロフルオロカーボンでありえる。これらポリマー形成物ガス群は、エッチングフェーズにわたって継続的に堆積されエッチングされるポリマー層を形成しえる。
表2は、本発明の好ましい実施形態においてサイクリックプロセスのエッチングフェーズにおいて用いられえるいくつかのパラメータの表である。
Figure 0004971978
好ましくは、このフェーズのために費やされるサイクルの時間は、約0.005から14秒である。より好ましくは、このフェーズのために費やされるサイクルの時間は、約0.05から7秒である。最も好ましくは、このフェーズのために費やされるサイクルの時間は、約0.25から2.5秒である。好ましくは、単一のエッチングフェーズの期間にわたって、500Å未満だけエッチングの深さが増す。より好ましくは、単一のエッチングフェーズの期間にわたって、約5および250Åの間だけエッチングの深さが増す。最も好ましくは、単一のエッチングフェーズの期間にわたって、約10および50Åの間だけエッチングの深さが増す。単一のエッチングフェーズ中で約10Å未満のエッチング深さにおける変化の場合、この変化は、単一のエッチングフェーズのあいだに除去された材料のモノレイヤの一部としてより正確に記述されえる。ある実施形態において、単一のエッチングフェーズの期間にわたって除去された材料の量は、ほぼ1つのモノレイヤである。他の実施形態において、単一のエッチングフェーズの期間にわたって除去された材料の量は、1つのモノレイヤ未満である。
サイクリックな処理は、多数サイクルにわたって反復される。フォトレジストマスク上に追加の保護層が堆積される。この例では、古い保護層の残りの部分は、新しい保護層の一部になる。このフィーチャは、フォトレジストマスクを通してそれからさらにエッチングされ(ステップ312)、より深いコンタクトホールを提供する。好ましくは、交互に起こる堆積およびエッチングフェーズを提供するこのガス変調されたサイクルまたはループは、3回より多く反復される。より好ましくは、20回より多く反復される。最も好ましくは、少なくとも100回反復される。
さらなるエッチングが望まれないとき、ガス変調されたサイクリックプロセス(ステップ312)は完了する。最後のサイクルにおいて、エッチングフェーズは、保護層を完全にエッチングして除去してもよい。しかし、サイクリックエッチング処理の後の後続の処理ステップは、保護層を除去するためにも用いられえ、および/または酸化物層のエッチングを完了するためにも用いられえる。
代替の実施形態において、ガス変調されたサイクリックプロセスは、酸化物エッチングが完了する前に終了されえ、これにより従来のエッチングステップの組み込みがそのエッチングを完了させることを可能にする。これは、酸化物層の下にある阻止層に対する選択性を制御する手段として望ましいかもしれない。
フォトレジストマスクのための材料の例は、以下に限定されないが、深UVフォトレジスト、193nmフォトレジスト、157nmフォトレジスト、EUVフォトレジスト、eビームフォトレジスト、およびx線フォトレジストのようなより新しい世代を含みえる。フォトレジストポリマー材料のより古い世代は、要求される高いエッチング耐性、すなわち、化学的不活性をエッチングガス混合物に与えるために、C−C2重結合およびフェノール族のような不飽和C−C結合を含むように設計される。これらの結合は強く、切断するのに高い活性化エネルギーを必要とし、したがって、比較的低いイオンエネルギーにおいて、より古い世代のフォトレジストは、エッチングガス混合物に対して非常に低いエッチングレートを示しえる。193nmおよび157nmを含むフォトレジストのより新しい世代は、これら不飽和結合を含まないかもしれないが、これはこれらの不飽和結合がリソグラフィ露光波長において吸収するからである。これら不飽和結合が存在しないことによって、フォトレジストエッチング耐性がずっと低下することにつながる。保護コーティングをフォトレジスト上にサイクリックプロセスエッチングのあいだに提供することによって、フォトレジストのエッチング耐性は、高いイオン衝突エネルギーにおいてでさえずっと改良される。本発明がフォトレジストのエッチング耐性を改善しえるイオン衝突エネルギーは50〜2,000eVでありえる。より好ましくは、イオン衝突エネルギーは200〜1,500eVでありえる。最も好ましくは、イオン衝突エネルギーは500〜1,000eVでありえる。
理論に束縛されることなく、サイクリック処理は、異なる処理体制を提供すると考えられるが、これは短い時間スケールで堆積およびエッチングされる非常に薄い膜の特性は厚い膜の特性とは異なるからである。ガス変調されたサイクリック処理のアプローチを用いると、短いサイクル時間で、側壁膜または上部フォトレジスト表面上の膜のような非常に薄い保護層が堆積される。この膜および酸化膜は、後でサイクルの次のフェーズのあいだに非常に少ない量だけエッチングされる。この薄い保護層の厚さは、モノレイヤの範囲(すなわちサブモノレイヤ、モノレイヤ、またはいくつかの原子または分子の層)でありえる。
モノレイヤ範囲のこの保護層の製造は、堆積レートと堆積時間との積に依存する。さまざまな堆積レートおよび堆積時間の組み合わせが、モノレイヤ範囲の保護層を提供するために用いられえる。例えば、ほぼ1nm/秒の側壁堆積レートおよびほぼ2nm/秒の上部表面堆積レートを提供する堆積は、堆積ステップがサイクル当たり0.25〜0.5秒であるときに、0.5nm厚さを持つモノレイヤレンジの薄膜保護層を提供する(すなわち1nm/秒の堆積レート×0.5秒=0.5nm堆積)。同じモノレイヤレンジは、堆積レートを増し、サイクル時間を減らすことによって、または堆積レートを減らし、サイクル時間を増すことによって、達成されえる。この柔軟性は、さらなる制御変数を提供する。
理論に拘束されることなく、保護膜の厚さが構成分子の寸法に近づくにつれ、例えばモノレイヤの範囲に近づくにつれ、この膜は、保護膜のバルク特性とは別の化学的および物理的特性を帯びえるとさらに考えられる。この体制において、薄膜の概念は、もはや適用されないかもしれず、材料の表面および近表面領域において存在する化学種の混合を考えるのがより正確であるかもしれない。そのような種は、緩く結合された物理吸着された種として、より密に結合された化学吸着された種として、または、より大きな構造、例えばポリマー分子、ガラス、またはバルク結晶の一部として存在しえる。これら表面および近表面の種は、サイクリック処理の保護層フェーズのあいだに堆積された保護性の種を含むが、元の基板からの、またはさまざまな種の間の化学反応から起こる他の種と共に、エッチングフェーズのあいだに堆積または発生した種も含みえる。ほぼモノレイヤの体制においてユニークな特性は、これら異なる表面および近表面の種の、互いとの、および基板材料との相互作用から起こりえる。これら反応は、それぞれの保護層フェーズにおいて基板を数層以上のモノレイヤで覆い、したがって次のエッチングフェーズが始まる時間までに保護材料の表面しか露出させない、より厚い保護膜の場合、抑圧される。
理論に束縛されることなく、それぞれの個々の保護およびエッチングフェーズのあいだにサブモノレイヤ範囲に対応する、限定された流れをそれぞれのサイクル内で受け取るような極端な場合、真に新規なプロセス体制が達成されるとさらに考えられる。この場合において、交互のプロセスステップの概念は、実際にはプロセスを制御するのに用いられているとしても、微視的スケールにおいては不正確になる。微視的スケールにおいては、表面反応は、種の到達および離脱およびこれら種の化学反応に基づいて進む。反応は、連続的に起こるが、高温反応を引き起こしえるイオンのようなエネルギーのある種の時々起こる衝撃によって中断される。臨界反応のほとんどは、これら励起の瞬時のあいだに起こる。サイクルのサブモノレイヤ体制において、表面では、表面に到達する化学物質の流れが実質的に2つの異なるプラズマ条件の平均である準定常状態が起こっており、ここでは反応がこれら種の混合物の間で起こる。
これは、従来の単一ステップの定常状態エッチングとは基本的に異なる体制であると考えられ、なぜなら表面に到達する種の混合物は、2つの別個のプラズマ条件から作られるからである。もしガス変調されたサイクリックプロセスのフェーズのプロセス条件が単一の定常状態レシピステップに統合されたなら、結果として生じる表面に到達する種の時間平均された流れは、プラズマ中の異なる気体の相互作用によって変化されるだろう。ガス変調されたサイクリックプロセスで時間軸においてプラズマ条件を分離することによって、表面に到達する種の全体としての混合物はかつてなかった程度にまで制御されえると考えられる。このサイクルの2つの異なるフェーズについての条件は、ガス化学反応を変調できる能力のために、非常に異なりえる。その結果、非常に異なる化学種がサイクルの異なるフェーズにおいて作られえ、それによって単一ステップの定常状態プロセスでは不可能だった混合物を達成できる。この混合物は、サイクルの交互に起こるフェーズ群によって作られる2つの別個のプラズマ条件からのフルエンスの線形組み合わせである。これらフルエンスの比は、サイクル時間比によって制御される。このサイクル時間比はしたがってさらなるプロセス制御変数となる。
ガス変調されたサイクル処理のアプローチは、短いサイクル時間体制においてアクセス可能な近モノレイヤおよびサブモノレイヤ範囲(モノレイヤ範囲)の体制を提供することができる。サイクル時間を充分に増すことによって、保持されたエッチング条件で交互に起こる多くのモノレイヤの厚さを持つバルク保護層の体制もアクセス可能でありえる。サイクル時間のこれら2つの極端の間に、振る舞いの連続体がアクセスされえ、それによってこのアプローチの両極端に特徴的な所望のおよび不要な結果のバランスをとることが可能になる。したがって、本発明のガス変調されたサイクル処理は、この連続体においてこれら体制の全てを提供する柔軟性を提供する。したがって総サイクル時間は、さらなるプロセス制御変数になる。
図7A〜Eは、サブモノレイヤを用いた高速サイクルの体制における表面上への材料の蓄積を概略的に示す。この例では、サイクル処理のそれぞれのフェーズは、表面サイトへ種を足していくが、異なる種が異なるフェーズで作られる。これは、異なるフェーズについての表面上における黒および白の円の間で交互に起こることによって示される。これらの円は、気相における、および表面上での堆積物分子を表す。図7Aにおいて、初期側壁表面704は、占有されない表面サイト706で示される。図7Bは、サイクリックプロセスの第1フェーズの効果を示し、ここで第1フェーズのプラズマ条件によって作られた堆積物分子の第1種708が表面704の表面サイト706上に堆積される。第1フェーズの第1適用のあいだに全ての表面サイト706が占有されるわけではないことに注意されたい。図7Cは第2フェーズの効果を示し、ここで第2フェーズのプラズマ条件によって作られた第2種712の堆積物分子は、気体化学反応およびおそらくは他のプロセスパラメータによって第1フェーズの堆積物分子の第1種708とは異なる。第2フェーズのこの適用において、1層のモノレイヤより小さいものが表面範囲に追加される。図7Dは、サイクリックプロセスの第1フェーズの次の適用の効果を示す。この適用において、モノレイヤ範囲が完成され、第2レイヤが形成され始める。図7Eは、いくつかのサイクルの後の結果を示し、これは第1および第2フェーズにおいて作られた異なる種708、712からなるそれぞれのレイヤを持つ混合膜である。
図8A〜Dは、低速サイクルの体制における表面上への材料の蓄積を概略的に示す。これは、図7A〜Eにおける例の同じ条件によって達成されるが、総サイクル時間はおおまかに10倍だけ増加されている。図8Aにおいて、初期表面804は、占有されない表面サイト806で示される。図8Bは、サイクリックプロセスの第1フェーズの効果を示し、ここで第1フェーズのプラズマ条件によって作られた堆積物分子の第1種808が側壁表面804の表面サイト806上に堆積される。この場合、表面範囲のいくつかのモノレイヤが第1フェーズの第1適用のあいだに追加される。図8Cは、サイクリックプロセスの第2フェーズの効果を示し、ここで第2フェーズのプラズマ条件によって作られた堆積物分子の第2種812は、堆積物分子の第1種808によって形成された層上に堆積される。表面範囲のいくつかのモノレイヤが第2フェーズの第1適用のあいだに追加される。図8Dは1.5サイクル後の結果を示し、ここで2つの異なる膜の交互の積層物ができ、これはそれぞれサイクリックプロセスの単一のフェーズのあいだに作られた第1種808および第2種812の層からなる多層膜を持つ。
これらの例は、総サイクル時間が表面の単一のモノレイヤを堆積するのに必要とされる時間に匹敵するようになるときに達成されえる定性的に異なる微視的結果を示すために提示される。これら2つの例において作られた異なる表面膜は、総サイクル時間の変化だけに依存する、ウェーハ構造上の異なるプロセス結果に対応しえると考えられる。これは表面機構だけとしての堆積を持つ簡単な例であるが、同様の議論は表面機構のより複雑な組み合わせにも適用可能である。例えば、サイクリックプロセスの交互のフェーズによる堆積物およびエッチャント種に交互に露出される表面は、総サイクル時間が表面の単一のモノレイヤを堆積またはエッチングするのに必要とされる時間に匹敵するようになるとき、変更された振る舞いをも示しえる。
上述のように、交互の保護およびエッチングステップを用いることによって、ストライエーションおよびファセッティングが減少されえ、より良いエッチング制御が提供されえると考えられる。理論に拘束されることなく、ガス変調されたサイクリックプロセスおよび交互のアプローチの保護機能を通してアクセス可能な近モノレイヤおよびサブモノレイヤの保護範囲の体制は、ストライエーションおよびファセッティングを減少し、より良いエッチング制御を提供するのに役立ちえるユニークな特性を提供するよう制御および変更されえると考えられる。
ガス変調されたサイクリックプロセスステップは、保護層を形成することおよびエッチングをすることの両方について同じキャリアガスフローを用いることによって実行されえ、一方、保護層を形成するための化学物質およびエッチングするための化学物質は交互に与えられる。加えて、RF電力、温度、および/または圧力は、ガス変調されたサイクリックプロセスにおけるそれぞれのフェーズのために最適化された条件を提供するために、ガスフローに同期してパルス化されえる。
他の実施形態において、キャリアガスおよび化学物質の全体のガス混合物が交互にされえる。再び、RF電力、温度、および/または圧力は、サイクリックプロセスにおけるそれぞれのフェーズのために最適化された条件を提供するために、ガスフローに同期してパルス化されえる。他の実施形態において、両方のフェーズについて同じガスが用いられえるが、相対的なフロー比は、それぞれのフェーズについて変化される。したがって、異なるガス化学反応をガス変調されたサイクリックプロセスの2つの異なるフェーズ間で与えるために、2つの全く異なるガスを用いえ、または同じキャリアガスおよび異なるアクティブガスを用いえ、または異なる相対フローを持つ同じガスを用いえる。
保護層を形成することおよびエッチングすることの両方に同じキャリアガスを用いた異なるガス化学反応の例において、エッチングガス源からのこのエッチャントガスは、保護層形成フェーズのあいだはプラズマ処理チャンバに提供されない。これは、エッチングガスまたは堆積ガスの成分を提供しないことによってなされえる。例えば、酸素または酸素を含むガスがエッチングガスの主要なエッチング成分である。C4F6がエッチャントガス中で用いられるとしても、エッチングはこの例では酸素がないとC4F6によっては達成されえない。したがって、酸素または酸素を含むガスを保護層形成フェーズのあいだに提供しないことは、C4F6が保護層の形成のあいだに提供されるとしても、保護層形成フェーズのあいだにエッチングガスを提供しない方法である。保護層形成のプロセスは、保護コーティングを形成するために、非エッチングまたはせいぜい無視できる程度のエッチング(エッチングされるべき層の10%より少ないだけ含む)であることも好ましい。このような堆積プロセスは、以下に限定されないが、プラズマエンハンストCVD堆積またはスパッタリングでありえ、これはCVDおよびスパッタリングはエッチングに用いられないからである。もし堆積ガスが、エッチングフェーズにおけるポリマー形成物と同じであるなら、堆積ガスはエッチングフェーズのあいだに提供されえる。加えて、エッチングフェーズのあいだのバイアス電力は、方向性エッチングを提供するためにより高くてもよい。
エッチングフェーズのあいだに重合を提供するために別個の堆積フェーズおよびポリマー形成物の存在を提供することは、より高いエッチングレートおよびより良い異方性エッチングのために、より高いエネルギーのエッチングイオンの使用を可能にする。パッシベーションガスをエッチングフェーズ混合物中に維持することによって、エッチングマスクの許容できないエロージョンおよびダメージなしに、より高いイオンエネルギーを用いることが可能になる。加えて、異方性エッチングは、エッチングフェーズ期間のあいだに達成されえる。交互に起こる保護層形成フェーズおよびエッチングフェーズを持つサイクリックプロセスを用いることによって、マスク保護が最適化されえる。このアプローチは、放電中のエッチングおよびリタデーションガスの相互反応を避ける。例えば、堆積化学物質の混合物は、エッチング混合物によって作られるよりも、より堅くより耐久性のあるコーティングを形成するよう選ばれえる。加えて、圧力および濃度のような堆積化学反応条件は、堆積および厚さのような保護層形成の特性を最適化するよう調整されえる。
堆積ガスの成分の一部は、エッチングガスの成分と混合されないことが望ましいかもしれず、それは混合することによっては、別個の堆積およびエッチングフェーズを有することの効率を低下させるからである。その結果、そのような場合のコントローラは、他のガスが加えられる前に一つのガスがなくなるように変調されたガスフローを同期させる。
独立した保護層形成およびエッチング・パッシベーションフェーズを有することによって、温度、電力、圧力、イオンエネルギー、および処理ガスのようなプロセス条件は、それぞれのフェーズについて最適な条件を提供するために独立に制御され変化されえる。
エッチングおよび保護層形成の両方のあいだに、アルゴンまたは他の不活性ガスがキャリアガスとして用いられえる。他の不活性ガスの例はネオンである。
本発明の実施形態において、プラズマ(電気的放電によって保持された化学物質および荷電粒子の混合物)に接触しえるチャンバ壁領域は、なるべく小さくされ、かつ高くされた温度に維持されるようにされる。この目的は、あるプロセスステップ中で形成されたチャンバ壁領域のコーティング中に含まれた化学成分が、放出されて後続のステップに干渉する、いわゆるチャンバ「メモリ」効果を避けるためである。チャンバ壁領域上の総堆積を最小化することによって、この効果は低減されえ、それによって、パフォーマンスを悪化しえる2つの異なるフェーズ間での相互作用を避けることができる。
前駆物質源からプロセスチャンバへのガス行程時間は非常に短くされることも望ましいかもしれない。一定の所望のフローを確立する時間およびプロセスチャンバにおける前記ガスの完全な不存在を確立する時間を表す、このガスフロー安定時間は、非常に短くされることによって、ある安定なガス混合物の成分から次への遷移が非常に高速にされえる。この目的は、パフォーマンスを悪化しえる、2つの異なるフェーズ間での化学物質群の相互混合を避けることにある。
放電条件および電力要件の変化について、電力の電気的放電への変換を制御する電気システムおよび制御ネットワークが非常に速く応答することも望ましいかもしれない。さらに、ガス混合物の圧力およびウェーハ基板の温度のようなプロセスチャンバの他の外部条件を迅速に変化および安定化させることも望ましいかもしれない。このような条件を迅速に変化させることは、より短い総サイクル時間を可能にし、それぞれのフェーズを個別に最適化するためにプロセス条件がフェーズ間で大きく変化されることを可能にする。したがって、処理条件の迅速な変調を制御および同期することができるコンピュータ化されたシステムを有することも望ましいかもしれない。このコンピュータシステムは、要求された周期的変化のためのコマンドを送り、処理チャンバ内の複数の条件変化を提供するさまざまな装置についての所定の時間遅延を用いてこれらコマンドを同期させるのに用いられる。
本発明の他の実施形態は、サイクリックプロセスへの1つ以上の追加フェーズを提供しえる。例えば、ガス変調されたサイクリックプロセスは、単一のサイクル中の3つの堆積フェーズおよび3つのエッチングフェーズのような6つのフェーズを有しえる。追加フェーズを足すことは、それぞれのサイクルの複雑さが増すことによって制限されえる。
他の実施形態は、エッチングフェーズのあいだにガスを提供するポリマーの使用をなくしえる。本発明の他の実施形態は、堆積フェーズおよびエッチングフェーズの代わりに2つのエッチングフェーズを提供しえる。このような実施形態において、1つのエッチングフェーズは、テーパー化されたプロファイルを生むエッチングプロセス条件でありえ、一方、第2エッチングフェーズは、へこんだプロファイルを生むエッチングプロセス条件でありえる。短いサイクル時間の体制において、サイクルのそれぞれのフェーズは、エッチングされつつある表面の1つ未満、大まかに1つ、または2,3のモノレイヤを変化させえる。この場合において、2つの異なるエッチングフェーズ間で交互に変わることは、微細なプロセス制御能力を作りえる。再び、ガス変調されたサイクリックアプローチは、単一のステップの定常状態条件によって作られえない、表面への種の混合物を送る能力を提供する。またそれぞれのフェーズにおける作られる種群の間の比は、サイクル時間比によって容易に制御される。本発明の他の実施形態は、2つの堆積フェーズおよび単一のエッチングフェーズを提供しえる。本発明の他の実施形態は、単一の堆積フェーズおよび2つのエッチングフェーズを提供しえる。本発明の他の実施形態は、ガス変調されたサイクリックプロセスのシーケンスを提供しえ、それぞれのサイクリックプロセスは、総サイクル時間、サイクル時間比、および/または個々のフェーズのプロセス条件によって区別される。これらフェーズの条件は、ガス成分、ガスフロー、RF電力、圧力、および/または温度を含む。エッチングされるべき層は、有機珪酸塩ガラスまたはSiLKのような低k誘電体膜である。
サイクリック剥離プロセス
理解を促進するために、図4は、本発明の実施形態における単一のフォトレジストを剥離するためのガス変調された周期的剥離プロセス(ステップ124)のステップのより詳細なフローチャートである。ガス変調された周期的剥離プロセスのあいだ、プロセスチャンバは、少なくとも2つのフェーズの間で変調する。1つのフェーズは、保護層を形成するのに最適化されたステップである(ステップ416)。もう1つのフェーズは、剥離に最適化されたステップである(ステップ426)。これらフェーズ間での交互の変化は、ガスフローレート、おそらくはRF源、表面温度、およびガス圧の同期された変調によって達成される。好ましい実施形態において、総サイクル時間は、約21秒より大きくはない。より具体的には、総サイクル時間は、0.01から10秒において実行される。最も好ましくは、総サイクル時間は、0.5から5秒において実行される。好ましくは、サイクル時間レシオ(保護:エッチング)は、0.01:1および20:1の間である。より好ましくは、サイクル時間レシオ(保護:エッチング)は、0.05:1および5:1の間である。最も好ましくは、サイクル時間レシオ(保護:エッチング)は、0.2:1および2:1の間である。好ましくは、ガス変調は、約3から50,000サイクルの間で実行される。より好ましくは、ガス変調は、約10から500サイクルの間で実行される。最も好ましくは、ガス変調は、少なくとも約50サイクル実行される。好ましくは、ガス変調された周期的剥離プロセスは、単一のフォトレジストマスクを剥離するために用いられる。そのような単一のフォトレジストマスクは、バイアエッチングのためにパターン付けされたフォトレジストマスクおよびトレンチエッチングのためにパターン付けされたフォトレジストマスクの代わりに、パターン付きフォトレジストマスクである。より好ましくは、そのような単一のフォトレジストマスクは、フォトレジストの単一の層からなる。
保護層を形成するために最適化されたフェーズ(ステップ416)のあいだ、保護層がエッチングされた形状の側壁上に、およびおそらくはエッチングマスクの上部上に堆積される。この堆積は、堆積の量が側壁上よりはマスキング材料上において優先的により多く形成されるよう非対称でありえる。換言すれば、堆積化学反応は、材料の化学的反応性の違いによりマスキング材料上にコーティングが優先的に実行されるように選ばれえる。好ましい実施形態において、堆積は、プラズマエンハンスト化学気相成長(CVD)プロセスを用いて、エッチングチャンバ内でin-situで行われ、これは薄膜保護層をフォトレジストの側壁上に堆積する。加えて、好ましい実施形態においては、堆積および剥離ステップは、同じチャンバ内で実行される。堆積プロセスは、そのような堆積の選択性を可能にするためにある程度のイオン衝突エネルギーを適用しえる。
他の実施形態において、処理条件は、保護層の厚さおよび空間的分布を変えるために、剥離が進むに従って変更されえる。例えば、剥離が進むにつれて、フィーチャの側壁上により厚いコーティングを形成することが望ましいかもしれない。エッチングが進むにつれてのサイクリック処理条件の変更は、このために提供しえる。層形成および剥離は、サイクルの別個のフェーズであるので、層形成フェーズのためのプロセス条件は、剥離フェーズに干渉することなく、この結果のために最適化されえる。代替として、総サイクル時間および/またはサイクル時間レシオは、この変更を提供するために、個別のフェーズについてのプロセスパラメータについての変更なしに、剥離が進むにつれて調整されえる。
保護層形成フェーズ416は、周期的剥離プロセス412中の独立したフェーズであり、これは異なる材料の異なるエッチング応用例のために必要とされる堆積ガスの異なる組み合わせを含みえ、ここでこの堆積は、フィーチャの周りに保護コーティングを提供しえる。好ましくは、このフェーズのために費やされるサイクルの時間は、約0.005から10秒である。より好ましくは、このフェーズのために費やされるサイクルの時間は、約0.1から5秒である。最も好ましくは、このフェーズのために費やされるサイクルの時間は、約0.2から2.5秒である。好ましくは、単一の保護層形成フェーズの期間にわたって側壁上に200Å未満の厚さを持つ層が形成される。より好ましくは、単一の保護層形成フェーズの期間にわたって側壁上に約0.1および100Åの間の層が形成される。最も好ましくは、単一の保護層形成フェーズの期間にわたって側壁上に約1および10Åの間の層が形成される。約10Å未満層厚の場合、この範囲は、モノレイヤの一部としてより正確に記述されえる。ある実施形態において、保護層は、単一の保護層形成フェーズの期間にわたって、単一のモノレイヤを形成する。他の実施形態において、保護層は、単一の保護層形成フェーズの期間にわたってサブモノレイヤを形成し、これは、単一の原子または分子層で表面を完全には覆わず、その代わりに、表面範囲のうちのあるパーセンテージ(すなわち75%)を提供しえる層である。
剥離フェーズ420は、周期的剥離プロセス128における独立したフェーズであり、これは剥離を進めるために行われる。
好ましくは、このフェーズのために費やされるサイクルの時間は、約0.005から10秒である。より好ましくは、このフェーズのために費やされるサイクルの時間は、約0.1から5秒である。最も好ましくは、このフェーズのために費やされるサイクルの時間は、約0.2から2.5秒である。
好ましくは、交互に起こる堆積および剥離フェーズを提供するこのガス変調されたサイクルまたはループは、3回より多く反復される。より好ましくは、10回より多く反復される。最も好ましくは、少なくとも50回反復される。
さらなるエッチングが望まれないとき、ガス変調されたサイクリック剥離プロセス(ステップ124)は完了する。最後のサイクルにおいて、エッチングフェーズは、保護層を完全にエッチングして除去してもよい。しかし、サイクリック剥離プロセスの後の後続の処理ステップは、保護層を除去するためにも用いられえ、および/または剥離プロセスを完了するためにも用いられえる。
理論に束縛されることなく、サイクリック処理は、異なる処理体制を提供すると考えられるが、これは短い時間スケールで堆積およびエッチングされる非常に薄い膜の特性は厚い膜の特性とは異なるからである。ガス変調されたサイクリック処理のアプローチを用いると、短いサイクル時間で、側壁膜のような非常に薄い保護層が堆積される。この薄い保護層の厚さは、モノレイヤの範囲(すなわちサブモノレイヤ、モノレイヤ、またはいくつかの原子または分子の層)でありえる。
モノレイヤ範囲のこの保護層の製造は、堆積レートと堆積時間との積に依存する。さまざまな堆積レートおよび堆積時間の組み合わせが、モノレイヤ範囲の薄い保護層を提供するために用いられえる。そのような薄い保護層の特性は、エッチングについて既に上述されている。
理論に束縛されることなく、周期的に保護層を堆積し、フォトレジストを剥離することによって、保護層は、1つの長いステップ中でより厚い保護層を継続的に追加する代わりに、薄く維持されえると考えられる。
フォトレジストが剥離されるエッチングされるべき層は、好ましくは有機珪酸塩ガラスまたはSiLKのような低k誘電体膜である。保護層は、剥離のあいだに低k誘電体膜への損傷を防止する。
ある実施形態において、同じガスおよびプロセスパラメータが両方のフェーズについて用いられえるが、それぞれのフェーズについて成分ガスの相対的フローレシオは変化される。
他の実施形態において、ガス変調された周期的剥離プロセスステップは、保護層を形成することと剥離することとの両方について、同じキャリアガスフローを用いることによって実行されえ、一方、保護層を形成するための化学物質および剥離するための化学物質は交互に与えられる。加えて、RF電力、温度、および/または圧力のような他のプロセスパラメータは、ガス変調されたサイクリックプロセスにおけるそれぞれのフェーズのために最適化された条件を提供するために、ガスフローに同期してパルス化されえる。
他の実施形態においては、キャリアガスおよび化学物質のガス混合物の全体が交互に用いられる。再び、RF電力、温度、および/または圧力のような他のプロセスパラメータは、ガス変調されたサイクリックプロセスにおけるそれぞれのフェーズのために最適化された条件を提供するために、ガスフローに同期してパルス化されえる。したがって、ガス変調された周期的剥離プロセスの2つの異なるフェーズ間で異なるガス化学反応を提供するために、2つの全く異なるガスを用いてもよく、または同じキャリアガスおよび異なる反応ガスを用いてもよく、または異なる相対フローを持つ同じガスを用いてもよい。
不活性キャリアガスが堆積ガスまたは剥離ガスのいずれかに加えられえる。例えば、堆積ステップは、C24およびArによってなされえる。
剥離のあいだにポリマー形成ガスを提供することは、剥離プロセスを制御することを助ける。
独立した保護層形成および剥離フェーズを有することによって、温度、電力、圧力、イオンエネルギー、および処理ガスのようなプロセス条件は、それぞれのフェーズについて最適な条件を提供するために独立して制御され変化されえる。
本発明の他の実施形態は、周期的剥離プロセスに1つ以上の追加のフェーズを提供しえる。例えば、ガス変調された剥離プロセスは、単一のサイクル中に、3つの堆積フェーズおよび3つの剥離フェーズのような6つのフェーズを有しえる。追加フェーズを足すことは、それぞれのサイクルの複雑さが増すことによって制限されえる。
他の実施形態は、剥離フェーズのあいだのポリマー提供ガスの使用を省きえる。
本発明の例においては、OSGの低k誘電体層が基板上に設けられた(ステップ104)。シリコン酸化物キャップ層が低k誘電体層上に堆積された(ステップ108)。キャップ層は約500Åの厚さである。有機ARC層がキャップ層の上に形成された(ステップ112)。パターン付きフォトレジストマスクがARC上に形成された(ステップ116)。この例では、フォトレジストはShipleyからの193nm PRであった。
この基板がプロセスチャンバ中に置かれた。図5は、本発明の好ましい実施形態において用いられえるプロセスチャンバ500の概略図である。この実施形態において、プラズマ処理チャンバ500は、閉じ込めリング502、上側電極504、下側電極508、ガス源510、および排気ポンプ520を備える。プラズマ処理チャンバ500内には、酸化物層が堆積される基板ウェーハ580が下側電極508の上に配置される。下側電極508は、基板ウェーハ580を保持するための適切な基板チャッキングメカニズム(例えば静電的、機械的クランピングのような)を組み込んでいる。リアクタ上部528は、下部電極508のすぐ反対に位置する上側電極504を組み込む。上側電極504、下側電極508、および閉じ込めリング502は、プラズマ容積540を定義する。ガスはガス源510によってガス吸気口543を通して限定されたプラズマ空間に供給され、限定されたプラズマ空間から閉じ込めリング502および排気口を通して排気ポンプ520によって排気される。排気ポンプ520は、プラズマ処理チャンバのためのガス排気口を形成する。RF源548は、下部電極508に電気的に接続される。チャンバ壁552は、閉じ込めリング502、上側電極504、および下部電極508が配置されるプラズマ筐体を定義する。RF源548は、27MHz電力源および2MHz電力源を備えうる。RF源を電極群に接続する異なる組み合わせが可能である。
本発明によって必要とされるサイクル時間を提供するよう変更されたカリフォルニア州、フレモントのLam Research CorporationTMによって製造される2300 ExelanTM誘電体エッチングシステムが、本発明の好ましい実施形態において用いられえる。2300 ExelanTM誘電体エッチングシステムは、容量結合システムである。コントローラ535は、RF源548、排気ポンプ520、およびガス源510に制御可能に接続される。シャワーヘッドは、ガス吸気口543に接続されえる。ガス吸気口543は、それぞれのガス源についての単一の吸気口でもよく、またはそれぞれのガス源について異なる吸気口でもよく、またはそれぞれのガス源についての複数の吸気口でもよく、または他の可能な組み合わせでもよい。
図6Aおよび6Bは、本発明の実施形態において用いられるコントローラ535を実現するのに適するコンピュータシステム600を示す。図6Aは、コンピュータの一つの可能な物理的形態を示す。もちろんコンピュータシステムは、集積回路、プリント基板、および小型携帯機器から、大型のスーパーコンピュータに至るまで多くの物理的形態をとりえる。コンピュータシステム600は、モニタ602、ディスプレイ604、筐体606、ディスクドライブ608、キーボード610、およびマウス612を含む。ディスク614は、データをコンピュータシステム600に転送し、かつデータをコンピュータシステム600から転送するために用いられるコンピュータ読み取り可能な媒体である。
図6Bは、コンピュータ600のブロック図の例である。システムバス620に接続されているのは、さまざまなサブシステムである。単一または複数のプロセッサ622(中央処理装置、またはCPUとも呼ばれる)は、メモリ624を含む記憶装置に結合されている。メモリ624は、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)を含む。この技術ではよく知られるようにROMは、データおよび命令を単一方向にCPUおよびRAMに転送するようにはたらき、RAMは、典型的にはデータおよび命令を双方向に転送するのに用いられる。メモリのこれら両方のタイプは、以下に述べるコンピュータ読み出し可能な適当な媒体を含みえる。固定ディスク626はまた、双方向でCPU622に結合され、追加のデータ記憶容量を提供し、また以下に述べるコンピュータ読み出し可能な適当な媒体を含みえる。固定ディスク626は、プログラム、データなどを記憶するのに用いられえて、典型的には一次記憶よりも低速な二次記憶媒体(ハードディスクのような)である。固定ディスク626内に保持された情報は、適切な場合においては、メモリ624の仮想メモリとして標準的なかたちで統合されえることが理解されよう。取り外し可能なディスク614は、以下に説明するコンピュータ読み出し可能な媒体のいかなる形態をも取りえる。
CPU622はまた、ディスプレイ604、キーボード610、マウス612およびスピーカ630のようなさまざまな入力/出力装置に結合される。一般に入力/出力装置は、ビデオディスプレイ、トラックボール、マウス、キーボード、マイク、タッチパネルディスプレイ、トランスデューサカードリーダ、磁気または紙テープリーダ、タブレット、スタイラス、音声または手書き認識機、生体情報読み取り機、または他のコンピュータのいずれでもよい。CPU622は追加で、ネットワークインタフェース640を用いて他のコンピュータまたは通信ネットワークに結合されてもよい。そのようなネットワークインタフェースによりCPUは、上述の方法ステップを実行する過程で、ネットワークから情報を受け取り、または情報をネットワークに出力してもよい。さらに本発明の方法の実施形態は、CPU622上だけで実行されてもよく、またはインターネットのようなネットワーク上で、処理の一部を担当する遠隔地にあるCPUと協働して実行されてもよい。
さらに本発明の実施形態は、コンピュータによって実現できるさまざまな操作を実行するコンピュータコードを格納した、コンピュータによって読み出し可能な媒体を持つコンピュータ記憶製品に関する。媒体およびコンピュータコードは、本発明の目的のために特別に設計され構築されたものでもよく、またはコンピュータソフトウェア技術の当業者に既知の利用可能なものであってもよい。コンピュータ読み出し可能な媒体の例としては、これらに限定はされないが、ハードディスク、フレキシブルディスク、および磁気テープのような磁気媒体、CD−ROMおよびDVDおよびホログラフィックデバイスのような光媒体、フロプティカルディスクのような光磁気媒体、特定アプリケーション向け集積回路(ASIC)、プログラム可能な論理デバイス(PLD)、およびROMおよびRAMデバイスのように、プログラムコードを記憶し実行するために特別に構成されたハードウェアデバイスが挙げられる。コンピュータコードの例としては、コンパイラによって生成される機械語、およびインタープリタを用いてコンピュータによって実行可能なより高いレベルのコードを含むファイルが挙げられる。コンピュータで読み取り可能な媒体は、搬送波中で実現され、プロセッサによって実行可能な命令のシーケンスを表すコンピュータデータ信号によって伝送されるコンピュータコードでもありえる。
フィーチャは、ARC層および低kOSG層を通してエッチングされた(ステップ120)。100〜500sccmのAr、3〜15sccmのC48、1〜10sccmのO2、および30〜200sccmのN2からなるエッチング化学物質が低kOSG層を通してエッチングするために用いられた。チャンバ圧力は、60〜500mTorrに設定された。300〜1500Wが27MHzのRF源によって提供された。
このフィーチャが完全にエッチングされた後、ウェーハにガス変調された周期的剥離プロセスが施された(ステップ124)。この例では、保護層形成フェーズ(ステップ416)および剥離フェーズ(ステップ420)の両方について、同じ成分ガスO2およびC24が用いられる。これらガスのガス比は、保護層形成フェーズ(ステップ416)および剥離フェーズ(ステップ420)の間で変化される。
この例では、保護層形成フェーズ(ステップ416)のためのレシピは、45mTorrの圧力を提供する。300ワットが27MHzで与えられ、100ワットが2MHzで与えられる。200sccmのO2が提供される。100sccmのC24が提供される。このフェーズは、それぞれのサイクルで2秒間行われる。
この例では、剥離フェーズ(ステップ416)のためのレシピは、45mTorrの圧力を提供する。300ワットが27MHzで与えられ、100ワットが2MHzで与えられる。200sccmのO2が提供される。10sccmのC24が提供される。このフェーズは、それぞれのサイクルで2秒間行われる。
他の実施形態は、2mTorrおよび300mTorrの間のチャンバ圧力を用いえる。電力は、50ワットから900ワットの範囲で提供されえる。100〜500sccmのO2が提供されえる。50〜500sccmのC24が提供されえる。それぞれのフェーズは、サイクル当たり0.1秒から5秒の間のでありえる。これらの例では、O2が剥離ガスとして用いられ、C24が保護層形成ガスとして用いられる。剥離のためには、剥離ガスの保護層形成ガスに対する比は、2:1より大きいことが好ましい。より好ましくは、剥離のためには、剥離ガスの保護層形成ガスに対する比は、10:1から2:1の間である。保護層形成のためには、剥離ガスの保護層形成ガスに対する比は、1:1より大きいことが好ましい。より好ましくは、剥離のためには、剥離ガスの保護層形成ガスに対する比は、1:1から1:10の間である。
堆積ステップおよび剥離ステップを最適化することによって、剥離損傷は、通常の連続的剥離プロセスに比較して50%より大きく改善されえる。最適化条件は、低k材料、前のエッチングステップ、およびPRの特性に依存した。ガス、RF電力、および圧力は、最良の結果を得るために最適化されなければならなかった。
理論に束縛されることなく、堆積ステップは、薄い保護コーティングを低k誘電体層中の側壁上に形成すると考えられる。後続の剥離ステップは、フォトレジストマスクを剥離し、かつ保護性コーティングの一部を除去する。周期的プロセスを提供することによって、保護層が薄く維持される。これは、保護性コーティングを剥離し、かつ提供する単一のステップに対して優れていると考えられる。このようなプロセスにおいては、単一のステップが続けられて保護層を形成し、それによって剥離プロセスの最後においては、厚い保護層が残るようにする。これは、単一の保護性レイヤ堆積ステップおよび単一の剥離ステップを提供するプロセスに対しても優れている。単一の堆積ステップを用いることは、厚い保護性レイヤが形成されることを要求し、これは結果として生じるフィーチャの微小寸法を損ないえる。
したがって、保護性レイヤ形成フェーズは、第1ガス化学物質を用い、剥離フェーズは、第1ガス化学物質とは異なる第2ガス化学物質を用いる。この例では、第1ガス化学物質は、保護性レイヤ形成ガスおよび剥離ガスの両方を異なる比率で備え、第1ガス化学物質は堆積を許し、第2ガス化学物質は剥離を許す。他の実施形態において、第1ガス化学物質は、剥離ガスなしの保護性レイヤガスだけでありえ、第2ガス化学物質は、保護性レイヤ形成ガスなしの剥離ガスだけでありえる。これらさまざまな実施形態は、保護層形成ガスを備える第1ガス化学物質および剥離ガスを備える第2ガス化学物質として要約されえる。
保護層形成ガスを形成するのに用いられえるガスは、C2H4、CH4、CH4、C2H4、C2H6、C2H2、C3H8、CO、SiH4、Si(CH3)4、Si(C2H5)4、CH3F、C2H5F、C3H7F、およびC2H3Fからなるグループから選択されえる。一般に、このような保護層ガスは炭化水素である。
剥離ガスに用いられえるガスは、O2、H2、N2、水蒸気、CO2、およびNH3からなるグループから選択されえる。
本発明は、いくつかの好ましい実施形態について説明されてきたが、本発明の範囲に含まれる変更、組み合わせ、および等価物が存在する。また本発明の方法および装置を実現する多くの代替手段が存在ことにも注意されたい。したがって添付の特許請求の範囲は、全てのそのような変更、組み合わせ、および等価物を本発明の真の精神および範囲に含まれるものとして解釈されるべきであることが意図されている。
本発明の実施形態において用いられるプロセスのフローチャートである。 図1のプロセスによるエッチングされた低k誘電体層の概略側面図である。 図1のプロセスによるエッチングされた低k誘電体層の概略側面図である。 図1のプロセスによるエッチングされた低k誘電体層の概略側面図である。 ガス変調された周期的エッチングプロセスのより詳細なフローチャートである。 ガス変調された周期的剥離プロセスのより詳細なフローチャートである。 本発明を実施するのに用いられえるシステムの概略図である。 本発明の実施形態において用いられるコントローラを実現するのに適するコンピュータシステムを示す図である。 本発明の実施形態において用いられるコントローラを実現するのに適するコンピュータシステムを示す図である。 サブモノレイヤを用いた高速サイクルの本発明の体制における表面上の材料の構築を概略的に示す図である。 サブモノレイヤを用いた高速サイクルの本発明の体制における表面上の材料の構築を概略的に示す図である。 サブモノレイヤを用いた高速サイクルの本発明の体制における表面上の材料の構築を概略的に示す図である。 サブモノレイヤを用いた高速サイクルの本発明の体制における表面上の材料の構築を概略的に示す図である。 サブモノレイヤを用いた高速サイクルの本発明の体制における表面上の材料の構築を概略的に示す図である。 低速サイクルの体制における表面上の材料の構築を概略的に示す図である。 低速サイクルの体制における表面上の材料の構築を概略的に示す図である。 低速サイクルの体制における表面上の材料の構築を概略的に示す図である。 低速サイクルの体制における表面上の材料の構築を概略的に示す図である。

Claims (15)

  1. 基板上のフォトレジストエッチングマスクを通して低k誘電体層中にフィーチャをエッチングする方法であって、
    低k誘電体層を基板上に設けること、
    パターン付けされたフォトレジストマスクを前記低k誘電体層上に設けること、
    少なくとも1つのフィーチャを前記低k誘電体層中にエッチングすること、および
    単一のフォトレジストマスクを剥離するガス変調された周期的剥離プロセスを3サイクルより多く行うことを備え、
    前記周期的剥離プロセスのそれぞれのサイクルは、
    第1ガスを用いて保護層形成フェーズを行うことであって、前記保護層形成フェーズはそれぞれのサイクルについて約0.005〜10秒行われ、
    前記第1ガスを提供すること、および
    前記第1ガスからプラズマを形成することであって、保護層は前記少なくとも1つのフィーチャの側壁上に形成され、前記保護層の厚さが増加される、前記第1ガスからプラズマを形成すること
    を含む保護層形成フェーズを行うこと、
    第2ガスを用いて前記フォトレジストマスクを剥離する剥離フェーズを行うことであって、前記第1ガスは前記第2ガスとは異なり、前記剥離フェーズはそれぞれのサイクルについて約0.005〜10秒行われ、
    前記第2ガスを提供すること、および
    前記第2ガスからプラズマを形成することであって、前記保護層の厚さが減少され、前記フォトレジストマスクの一部は剥離される、前記第2ガスからプラズマを形成すること
    を含む剥離フェーズを行うこと
    を備え、
    前記保護層形成フェーズは、0.1以上200Å未満の厚さの層を形成する方法。
  2. 基板上のフォトレジストエッチングマスクを通して低k誘電体層中にフィーチャをエッチングする方法であって、
    低k誘電体層を基板上に設けること、
    パターン付けされたフォトレジストマスクを前記低k誘電体層上に設けること、
    少なくとも1つのフィーチャを前記低k誘電体層中にエッチングすること、および
    単一のフォトレジストマスクを剥離するガス変調された周期的剥離プロセスを3サイクルより多く行うことを備え、
    前記周期的剥離プロセスのそれぞれのサイクルは、
    第1ガスを用いて保護層形成フェーズを行うことであって、前記保護層形成フェーズはそれぞれのサイクルについて約0.005〜10秒行われ、
    前記第1ガスを提供すること、および
    前記第1ガスからプラズマを形成することであって、保護層は前記少なくとも1つのフィーチャの側壁上に形成され、前記保護層の厚さが増加される、前記第1ガスからプラズマを形成すること
    を含み、前記保護層形成フェーズは前記フォトレジストマスクに関する剥離反応に対して比較的不活性である堆積材料の層を形成する、保護層形成フェーズを行うこと、
    第2ガスを用いて前記フォトレジストマスクを剥離する剥離フェーズを行うことであって、前記第1ガスは前記第2ガスとは異なり、前記剥離フェーズはそれぞれのサイクルについて約0.005〜10秒行われ、
    前記第2ガスを提供すること、および
    前記第2ガスからプラズマを形成することであって、前記保護層の厚さが減少され、前記フォトレジストマスクの一部は剥離される、前記第2ガスからプラズマを形成すること
    を含む剥離フェーズを行うこと
    を備える方法。
  3. 請求項1に記載の方法であって、前記保護層形成フェーズは、約0.1および100Åの間の厚さの層を形成する方法。
  4. 請求項1〜3のいずれかに記載の方法であって、ガス変調された周期的剥離プロセスを実行することは、容量的に結合されたプラズマプロセスチャンバ内で行われる方法。
  5. 請求項1〜4のいずれかに記載の方法であって、前記保護層形成フェーズおよび前記剥離フェーズは、共通したプラズマプロセスチャンバ内で行われる方法。
  6. 請求項1〜5のいずれかに記載の方法であって、前記共通したプラズマプロセスチャンバは、容量的に結合されたプラズマプロセスチャンバであって、前記第1ガスから前記プラズマを形成することは、容量的に結合されたエネルギーを提供することを含む方法。
  7. 請求項1〜6のいずれかに記載の方法であって、前記ガス変調された周期的剥離プロセスは、少なくとも3サイクル行われる方法。
  8. 請求項1〜6のいずれかに記載の方法であって、前記第1ガスは保護層形成ガスを含み、前記第2ガスは剥離ガスを含む方法。
  9. 請求項8に記載の方法であって、前記保護層形成ガスは、C2H4、CH4、CH4、C2H4、C2H6、C2H2、C3H8、CO、SiH4、Si(CH3)4、Si(C2H5)4、CH3F、C2H5F、C3H7F、およびC2H3Fのうちの少なくとも1つである方法。
  10. 請求項8〜9のいずれかに記載の方法であって、前記剥離ガスは、O2、H2、N2、水蒸気、CO2、およびNH3のうちの少なくとも1つである方法。
  11. 請求項8〜10のいずれかに記載の方法であって、前記第1ガスは、1:1未満である保護層形成ガスに対する剥離ガスの比を有し、前記第2ガスは、10:1および2:1の間である保護層形成ガスに対する剥離ガスの比を有する方法。
  12. 基板上の単一層の少なくとも一部を除去する方法であって、
    ガス変調された周期的プロセスを3サイクルより多く実行することを備え、
    前記周期的プロセスのそれぞれのサイクルは、
    堆積ガス化学物質を持つ第1ガス化学物質を用いて保護層形成フェーズを行うことであって、前記保護層形成フェーズはそれぞれのサイクルについて約0.005〜10秒行われ、
    前記第1ガス化学物質を提供すること、および
    前記第1ガス化学物質からプラズマを形成することであって、前記プラズマは保護層の形成を引き起こし、前記保護層の厚さを増加させる、前記第1ガス化学物質からプラズマを形成すること
    を含み、前記保護層形成フェーズは前記フォトレジストマスクに関する剥離反応に対して比較的不活性である堆積材料の層を形成する、保護層形成フェーズを行うこと、
    除去ガス化学物質を用いた第2ガス化学物質を用いて、前記単一層の少なくとも一部を除去する除去フェーズを行うことであって、前記第1ガス化学物質は前記第2ガス化学物質とは異なり、前記除去フェーズはそれぞれのサイクルについて約0.005〜10秒行われ、
    前記第2ガス化学物質を提供すること、および
    前記第2ガス化学物質からプラズマを形成し、前記単一層の少なくとも一部を除去すること
    を含む除去フェーズを行うこと
    を備える方法。
  13. 請求項1〜11のいずれかに記載の方法であって、前記ガス変調された周期的剥離プロセスを少なくとも50サイクル行う方法。
  14. 請求項1,3〜11,13のいずれかに記載の方法であって、前記保護層は、前記フォトレジストマスクに関する剥離反応に対して比較的不活性である堆積材料の層である方法。
  15. 請求項1〜11,13,14のいずれかに記載の方法であって、前記保護層形成フェーズは各サイクルにおいてモノレイヤの範囲の層を形成する方法。
JP2007515400A 2004-06-03 2005-05-27 ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法 Active JP4971978B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/860,833 2004-06-03
US10/860,833 US7294580B2 (en) 2003-04-09 2004-06-03 Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
PCT/US2005/018784 WO2005122226A1 (en) 2004-06-03 2005-05-27 Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition

Publications (3)

Publication Number Publication Date
JP2008502146A JP2008502146A (ja) 2008-01-24
JP2008502146A5 JP2008502146A5 (ja) 2008-07-10
JP4971978B2 true JP4971978B2 (ja) 2012-07-11

Family

ID=34979663

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007515400A Active JP4971978B2 (ja) 2004-06-03 2005-05-27 ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法

Country Status (8)

Country Link
US (1) US7294580B2 (ja)
EP (1) EP1754252A1 (ja)
JP (1) JP4971978B2 (ja)
KR (1) KR101160102B1 (ja)
CN (1) CN100524646C (ja)
IL (1) IL179695A (ja)
TW (1) TWI400749B (ja)
WO (1) WO2005122226A1 (ja)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
KR100607777B1 (ko) * 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
KR100707803B1 (ko) * 2005-10-28 2007-04-17 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조방법
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
JP2007227529A (ja) * 2006-02-22 2007-09-06 Tokyo Electron Ltd 半導体装置の製造方法、プラズマ処理装置、及び記憶媒体
US20070275560A1 (en) * 2006-02-22 2007-11-29 Eiichi Nishimura Method of manufacturing semiconductor device
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
US7585778B2 (en) * 2007-03-27 2009-09-08 Applied Materials, Inc. Method of etching an organic low-k dielectric material
JP2008311258A (ja) * 2007-06-12 2008-12-25 Applied Materials Inc 低誘電率の誘電材料の損傷を低減したマスキング材料の除去方法
US7785484B2 (en) * 2007-08-20 2010-08-31 Lam Research Corporation Mask trimming with ARL etch
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US8618663B2 (en) 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8809196B2 (en) * 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
US8691701B2 (en) * 2009-05-08 2014-04-08 Lam Research Corporation Strip with reduced low-K dielectric damage
US7637269B1 (en) * 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
JP2013510445A (ja) * 2009-11-09 2013-03-21 スリーエム イノベイティブ プロパティズ カンパニー 半導体の異方性エッチングプロセス
CN101819933A (zh) * 2010-02-11 2010-09-01 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8324114B2 (en) 2010-05-26 2012-12-04 Lam Research Corporation Method and apparatus for silicon oxide residue removal
CN102299097B (zh) * 2010-06-28 2014-05-21 中芯国际集成电路制造(上海)有限公司 一种金属连线刻蚀方法
US9039909B2 (en) * 2011-02-28 2015-05-26 Tokyo Electron Limited Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
US8399366B1 (en) * 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
JP2013084695A (ja) * 2011-10-06 2013-05-09 Tokyo Electron Ltd 半導体装置の製造方法
JP5914007B2 (ja) * 2012-01-20 2016-05-11 昭和電工株式会社 磁気記録媒体の製造方法
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9040430B2 (en) 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
US9184029B2 (en) * 2013-09-03 2015-11-10 Lam Research Corporation System, method and apparatus for coordinating pressure pulses and RF modulation in a small volume confined process reactor
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9595451B1 (en) 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US10497578B2 (en) 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
US10002773B2 (en) * 2016-10-11 2018-06-19 Lam Research Corporation Method for selectively etching silicon oxide with respect to an organic mask
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
US11443954B2 (en) 2019-12-10 2022-09-13 Tokyo Electron Limited Method and apparatus for controlling a shape of a pattern over a substrate
KR20240040525A (ko) * 2022-09-21 2024-03-28 피에스케이 주식회사 기판 처리 방법

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) * 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4698128A (en) 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) * 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
JPH07226397A (ja) 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
DE4317623C2 (de) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JP2674488B2 (ja) * 1993-12-01 1997-11-12 日本電気株式会社 ドライエッチング室のクリーニング方法
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
EP1357584A3 (en) * 1996-08-01 2005-01-12 Surface Technology Systems Plc Method of surface treatment of semiconductor substrates
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
JPH10209118A (ja) * 1997-01-28 1998-08-07 Sony Corp アッシング方法
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
DE19730644C1 (de) * 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
KR100520148B1 (ko) * 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
JP2000208767A (ja) * 1998-11-13 2000-07-28 Seiko Epson Corp 半導体装置の製造方法
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6316169B1 (en) * 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6235453B1 (en) 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
KR100327346B1 (ko) 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6500743B1 (en) * 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10059836A1 (de) 2000-12-01 2002-06-13 Infineon Technologies Ag Verfahren zur Strukturierung dielektrischer Schichten
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
JP2004119539A (ja) * 2002-09-25 2004-04-15 Sony Corp レジストパターンの除去方法
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry

Also Published As

Publication number Publication date
TWI400749B (zh) 2013-07-01
IL179695A0 (en) 2007-05-15
JP2008502146A (ja) 2008-01-24
KR20070032967A (ko) 2007-03-23
EP1754252A1 (en) 2007-02-21
TW200614357A (en) 2006-05-01
WO2005122226A1 (en) 2005-12-22
CN100524646C (zh) 2009-08-05
US20040224520A1 (en) 2004-11-11
CN1993811A (zh) 2007-07-04
US7294580B2 (en) 2007-11-13
IL179695A (en) 2011-08-31
KR101160102B1 (ko) 2012-06-26

Similar Documents

Publication Publication Date Title
JP4971978B2 (ja) ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法
JP5087271B2 (ja) ガス化学反応の周期的変調を用いたプラズマエッチング方法
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6833325B2 (en) Method for plasma etching performance enhancement
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
JP5081917B2 (ja) フッ素除去プロセス
US7977390B2 (en) Method for plasma etching performance enhancement
JP4668205B2 (ja) 多孔質低誘電率層内に形状を形成する方法および装置
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
KR101534883B1 (ko) 마스크 트리밍
WO2007094957A1 (en) Infinitely selective photoresist mask etch
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
WO2009085597A2 (en) Cd bias loading control with arc layer open

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080523

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080523

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110315

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110614

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110621

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110725

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111208

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120321

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120406

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150413

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4971978

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250