TWI453814B - 用於電漿蝕刻性能增強的方法 - Google Patents

用於電漿蝕刻性能增強的方法 Download PDF

Info

Publication number
TWI453814B
TWI453814B TW096129259A TW96129259A TWI453814B TW I453814 B TWI453814 B TW I453814B TW 096129259 A TW096129259 A TW 096129259A TW 96129259 A TW96129259 A TW 96129259A TW I453814 B TWI453814 B TW I453814B
Authority
TW
Taiwan
Prior art keywords
gas
etching
features
mask
protective
Prior art date
Application number
TW096129259A
Other languages
English (en)
Other versions
TW200818313A (en
Inventor
Bing Ji
Erik A Edelberg
Takumi Yanagawa
Zhisong Huang
Lumin Li
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/508,725 external-priority patent/US7977390B2/en
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200818313A publication Critical patent/TW200818313A/zh
Application granted granted Critical
Publication of TWI453814B publication Critical patent/TWI453814B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

用於電漿蝕刻性能增強的方法
本發明係有關於一種使用電漿藉由蝕刻通過由蝕刻遮罩所界定的結構而在半導體晶圓上獲得一結構的方法。
在半導體電漿蝕刻應用中,電漿蝕刻器通常用於將光阻遮罩圖案轉印至Si晶圓上之電路和所欲薄膜及/或膜堆疊(導體或介電絕緣體)之線圖案。此藉由蝕刻離開在遮罩圖案之開啟區域中的光阻遮罩下方之膜(和膜堆疊)而達成。此蝕刻作用藉由化學作用物種和激發在真空封閉體(亦稱為反應器室)中所包含的反應劑混合物中之放電所產生之電荷粒子(離子)而初始化。此外,離子亦藉由在氣體混合物和晶圓材料之間所產生的電場而朝晶圓材料加速,沿著離子軌道的方向以稱為非等向性蝕刻的方式,產生蝕刻材料的方向性移除。在蝕刻程序完成時,遮罩材料藉由將其剝除而被移除,在該處留下該原始預期遮罩圖案之橫向圖案的複製物。在蝕刻製程期間,遮罩材料通常於圖案轉印之交換時被腐蝕及/或損害。因此,一些損害及腐蝕亦可被轉印至下部層,留下此非所欲之圖案損毀,例如條紋、CD放大等等。
因此,蝕刻方法之目的包括減低光阻遮罩腐蝕,以提高從光阻遮罩圖案的圖案轉印之精確度。
在介電蝕刻中,縱橫比(AR)被定義為特徵深度(d)和寬度(W1)之間的比例,如第9圖所示,其顯示在氮化矽阻障層910上方之介電層908上的光阻遮罩904。介電層908亦被蝕刻形成特徵916,其具有弓彎側壁。在高縱橫比(HAR)之介電蝕刻中,其中AR大於10,必須符合數個條件之一者:介電層和遮罩層之間的高蝕刻選擇性垂直直立特徵剖面臨界尺寸(CD)的控制
在整個蝕刻製程期間,需要較高蝕刻選擇性來保存該遮罩圖案,以及避免在蝕刻剖面之非所欲的不規則偏差(條紋)。垂直直立特徵剖面是保持裝置產量所需的。存有數個自垂直直立剖面引起偏差的機制:弓彎,係為在特徵之上中間部分變寬(在第9圖中,w2>w1);頸形,係為接近特徵之上部縮減(在第10圖中,w4<w1);錐狀化(tapering),係為朝特徵之底部縮減(w2>w3);以及扭曲,係為在特徵之底部上的位置和方向之隨機偏差,或底部形狀的歪曲,導致與下部作用裝置無法對準。弓彎深度dB 係顯示為其中發生如圖所示之弓彎的深度。由於特徵尺寸持續在縮減,CD控制已變成與日遽增的關鍵。往往,從遮罩中界定的值縮減或減少CD必須在HAR蝕刻期間達成。
第10圖係為使用習知技術所執行的另一蝕刻以形成特徵1016的概要圖。在此例中,在發生弓彎形成特徵寬度w2之前,發生頸形以形成特徵寬度w4。
在習知技藝中已花費許多努力去解決這些問題。最具挑戰性的問題之一在於弓彎防護,或減低垂直剖面弓彎。常見的習知方法在於使用聚合化的碳化氟化學物質,以在電漿蝕刻期間使特徵側壁鈍化。然而,此方法受限於複雜的化學物質其中之一,以及弓彎防護和蝕刻停止之間的交換。當縱橫比進一步增加時,此習知方法已變為不適用於弓彎防護。當蝕刻已發展時側壁鈍化(沈積)中的變化,例如:在蝕刻步驟期間提供鈍化添加劑,在電漿蝕刻期間增加聚合化之傾向,導致增強之側壁鈍化和弓彎防護。然而,蝕刻化學物質變得又更為複雜,且因此更容易受到例如蝕刻停止之交換限制的影響。
為了要達成前述以及根據本發明之目的,係提供一種用於在一介電層中蝕刻特徵的方法。在該介電層上形成一遮罩。在該遮罩的暴露表面上形成一保護性含矽塗層。將該些特徵蝕刻穿過該遮罩和保護性含矽塗層。
在本發明的另一形式中,係提供一種用於在一介電層中蝕刻特徵的方法。在一介電層上形成一遮罩。將特徵局部地蝕刻至該介電層。在該些已局部地蝕刻的特徵之側壁上形成一保護性含矽塗層。完全地蝕刻該些特徵。
在本發明的另一形式中,係提供一種用於在配置於一遮罩下方的一介電層中形成特徵的裝置。提供一電漿處理室,包含:一室壁,形成一電漿處理室封閉體;一基板支承體,用於支承在該電漿處理室封閉體中的一基板;一壓力調整器,用於調整該電漿處理室封閉體中的該壓力;至少一電極,用於提供電力至該電漿處理室封閉體,以維持一電漿;一氣體入口,用於將氣體提供至該電漿處理室封閉體;以及一氣體出口,用於將氣體從電漿處理室封閉體排出。一氣體源與該氣體入口作流體連接,其中該氣體源包含一含矽沈積氣體源和一蝕刻氣體源。一控制器可控制地連接至該氣體源和該至少一電極,且包含:至少一處理器和電腦可讀取媒體。該電腦可讀取媒體包含:用於在該遮罩的暴露表面上形成一含矽塗層的電腦可讀取碼,其包含:用於提供來自該含矽氣體源的一含矽沈積氣體之電腦可讀取碼;用於將該含矽沈積氣體形成為一電漿之電腦可讀取碼;用於將來自該電漿的一含矽層沈積在該遮罩的暴露表面上之電腦可讀取碼;以及用於中止該提供來自該含矽氣體源的該含矽沈積氣體之電腦可讀取碼。該電腦可讀取媒體更包含:用於將特徵蝕刻穿過該遮罩和保護性含矽層之電腦可讀取碼,其包含:用於提供來自該蝕刻氣體源的一蝕刻氣體之電腦可讀取碼;用於將該蝕刻氣體形成為蝕刻特徵至該介電層之一電漿之電腦可讀取碼;以及用於中止該提供來自該蝕刻氣體源的該蝕刻氣體之電腦可讀取碼。
本發明的這些與其他特點將在以下本發明實施方式中配合以下圖式而詳細說明。
以下將參照附圖並以若干較佳實施例詳細說明本發明。為使本發明可徹底地被了解,以下敘述中係提出許多特定細節。但對熟習此項技藝者而言,本發明顯然可略過某些或所有特定細節而加以實行。其他情況中,為了不使不必要的細節混淆本發明,故未敘述已為人所熟知之製程步驟及/或結構。
本發明提供具有一非蝕刻步驟的蝕刻,其中沈積一含矽層,用於允許改善之遮罩以提供介電蝕刻選擇性及/或減低弓彎以提供更多垂直剖面。尤其,本發明提供高蝕刻選擇性,用於除了垂直直立的特徵剖面(側壁)以及臨界尺寸(CD)的控制以外,允許具有高於10:1的特徵深度對特徵寬度之比的介電層中之高縱橫比(HAR)特徵的蝕刻。較佳地,垂直側壁係為從底部至頂部與該些特徵之底部呈88°至90°之間的角度之側壁。
較高選擇性保護該遮罩,以提供用於改進解析度的較薄的遮罩圖案,並且避免在蝕刻剖面圖中非所欲之不規則偏差(條紋)。
新式蝕刻製程可藉由各種實施例加以實施。為了有助於理解,第1圖是高階流程圖,其可使用於本發明的一些實施例中。將遮罩設於待被蝕刻的層上(步驟104)。第2A圖顯示位於基板上方的遮罩204,其已被形成於待被蝕刻的介電層208上,其位於氮化矽阻障層210上方。將基板置放於處理室(步驟106)。
第3圖係為可使用於本發明較佳實施例中的處理室300之概要圖。在此實施例中,電漿處理室300包含限制環302、上電極304、下電極308、氣體源310、和排出幫浦320。氣體源310包含沈積氣體源312、蝕刻劑氣體源314、和額外氣體源316。在電漿處理室300內,基板晶圓380係設於下電極308上。下電極308包含用於支承基板晶圓380的合適之基板夾置機構(例如:靜電、機械夾合、或其他)。反應器蓋328包含緊鄰配置於下電極308對面的上電極304。上電極304、下電極308、和限制環302界定侷限電漿容室340。氣體藉由氣體源310經過氣體入口343而被提供侷限電漿容室,以及藉由排出幫浦320經過限制環302和排出埠而從侷限電漿容室排出。排出幫浦320形成電漿處理室的氣體出口。第一RF源344電連接至上電極304。第二RF源348電連接至下電極308。室壁352界定配置有限制環302、上電極304、和下電極308之電漿封閉體。第一RF源344與第二RF源348皆可包含60 MHz功率源、27 MHz功率源和2 MHz功率源。連接RF功率至電極的不同組合是可行的。由美國加州費蒙特的泛林公司(Lam Reseach Coopration)所製造之300mm Flex45前端介電蝕刻器可使用於本發明的較佳實施例中。控制器335可控制地連接至第一RF源344、第二RF源348、排出幫浦320、連接至沈積氣體源312的第一控制閥337、連接至蝕刻劑氣體源314的第二控制閥334、以及連接至額外氣體源316的第三控制閥341。氣體入口343將來自氣體源312、314、316的氣體提供至氣體處理封閉體。噴氣頭可連接至氣體入口343。氣體入口343可為各氣體源的單一入口、或各氣體源的不同入口、或是各氣體源的複數個入口、或其他可能的組合。
第4A和4B圖說明電腦系統400,其適於用來作為控制器335。第4A圖顯示可使用於控制器335的一電腦系統之可行實體形式。當然,電腦系統可具有數個實體形式,其範圍從積體電路、印刷電路板、和小型手持裝置,上至大型超級電腦。電腦系統400包括監視器402、顯示器404、殼體406、磁碟機408、鍵盤410、和滑鼠412。磁碟414係用於將資料傳送至電腦系統400和將資料自電腦系統400傳送之電腦可讀取媒體。
第4B圖係為電腦系統400之方塊圖的範例。各種子系統附接至系統匯流排420。處理器422(亦稱為中央處理單元、或CPU)耦接至包括記憶體424的儲存裝置。記憶體424包括隨機存取記憶體(RAM)和唯讀記憶體(ROM)。如此技藝中所熟知的,ROM作為將資料和指令單向地傳送至CPU,而RAM則通常用於將資料和指令以雙向方式傳送。這些類型的記憶體皆包括下述之任何合適的電腦可讀取媒體。固定式磁碟426亦雙向耦接至CPU 422,其提供額外資料儲存容量,且亦可包括下述之任何合適的電腦可讀取媒體。固定式磁碟426可用於儲存程式、資料、和其他,且通常為較主要儲存裝置更慢的輔助儲存媒體(例如:硬碟),在適當的例子中,其可以標準方式合併為記憶體424中之虛擬記憶體。可移除磁碟414可採用下述之任何合適的電腦可讀取媒體之形式。
CPU 422亦耦接至各種輸入/輸出裝置,例如:顯示器404、鍵盤410、滑鼠412、和喇叭430。一般而言,輸入/輸出裝置可為以下任意者:影像顯示器、軌跡球、滑鼠、鍵盤、麥克風、觸控顯示器、轉換卡讀取器、磁性和紙帶讀取器、手寫板、尖筆、聲音或手寫辨識器、生物特徵讀取器、或其他電腦。可選擇地,CPU 422可使用網路介面440而耦接至其他電腦或電信網路。藉由此一網路介面,預期在執行上述方法步驟的過程中,CPU可能從網路接收資訊,或可能將資訊輸出至網路。再者,本發明的方法實施例可單獨地在CPU 422上執行,或可在與遠端CPU連接之網路(例如:網際網路)上執行,而該遠端CPU共用一部分之處理。
再者,本發明的實施例更有關於具有電腦可讀取媒體的電腦儲存產品,而該電腦可讀取媒體具有位於其上用於執行各種電腦施行之操作的電腦碼。媒體和電腦碼可為特別針對本發明之目的所設計和建構,或是具有電腦軟體技藝之技術人士已知或可得的各個種類。電腦可讀取媒體的例子包括但不限制於:磁性媒體(例如:硬碟、軟碟、和磁帶)、光學媒體(例如:CD-ROM和全像式裝置)、磁光媒體(例如:光讀碟片)、特別用於儲存和執行程式碼的硬體裝置(例如:特殊應用積體電路ASIC、可程式化邏輯裝置PLD)、和ROM與RAM裝置。電腦碼的例子包括:機器碼(例如:由編譯器所產生)、和含有較高階碼並可使用解譯器而由電腦執行的檔案。電腦可讀取媒體亦可為藉由電腦資料信號(內嵌於載波中)所傳送且表示可由處理器所執行的一序列指令之電腦碼。
保護性含矽層212形成於遮罩204上,如第2B圖所示(步驟108)。保護性含矽層212較佳係使用包含SiF4 的矽前驅氣體來形成。未被理論所侷限,SiF4 提供矽和氟,其中矽係沈積為含矽保護層,氟係提供該矽層的一些剖面成型,並避免在待被蝕刻之特徵底部上的蝕刻停止。沈積較佳係為非對稱的,使得優先在遮罩材料和特徵側壁上所形成的沈積量係多於在已蝕刻介電特徵之底部上所形成的沈積量。如可由第2B圖中所見,相較於在特徵之底部上的介電表面,在遮罩204之頂部上係形成較厚的保護性矽層212。較佳地,如第2B圖所示,氟移除特徵之底部上的矽。在較佳實施例中,沈積係使用化學氣相沈積(CVD)製程而在蝕刻室中之原處完成,其亦沈積薄保護層在遮罩之側壁上。較佳地,沈積使用一些離子能,以允許此沈積的選擇性。當完成沈積時,可停止沈積氣體流。
在其他實施例中,可改變處理條件,以變動矽保護層的厚度和空間分佈。舉例而言,當蝕刻進行得較深時,可希望在蝕刻結構的側壁上形成較厚塗層,以便保護蝕刻結構不受後續蝕刻而進一步扭曲或弓彎。可針對此提供處理條件的變化。由於沈積保護性矽層和蝕刻係為個別步驟,可針對此結果來最佳化用於沈積保護性含矽層的處理條件,而不需與蝕刻製程相干擾。
可使用於含矽保護層的CVD沈積之沈積化學物質的範例可為(但不限於)SiF4 、Si(CH3 )4 、SiH(CH3 )3 、SiH2 (CH3 )2 、SiH3 (CH3 )、Si(C2 H5 )4 、SiH4 ,以及其他有機矽化合物,例如:Si(OC2 H5 )4 。這些化學製品較佳具有不大於4:1之鹵素對矽比。SiF4 是最佳的前驅物,由於它是非易燃的且是半導體生產實驗室中輕易地取得/存有的。為了要針對沈積從SiF4 釋放矽,可加入氟清除劑(例如:氫H2 )在沈積電漿中。針對SiF4 沈積,需要適當量的氫H2 。H2 對SiF4 流率之比係在0.5~5的範圍中,且較佳範圍是1.5至2.5。亦可加入含碳前驅物(例如,CH4 及/或CF4 ),以改變膜組成。針對遮罩和弓彎防護,該沈積在遮罩和特徵側壁上方必須為保角的。在此同時,為了避免蝕刻停止,必須在特徵之底部上禁止或阻礙該沈積。再者,該沈積在整體晶圓表面上方必須為均勻的。
已沈積之保護層係為遮罩上方之含非晶矽(或多晶矽)層。矽保護層係隨著部分F、C和H成份之存在而調整。F的存在會在不同材料表面上引起選擇性之作用,使得沈積優先發生在某些表面,而非其他表面上。舉例而言,沈積係較為優先在遮罩表面上和已蝕刻特徵之側壁上,多於已蝕刻之介電特徵的底部上。在遮罩表面上之優先沈積導致遮罩保護以及改善蝕刻選擇性。在已蝕刻之特徵的側壁上之優先沈積禁止側向蝕刻,因此最小化已蝕刻特徵的弓彎。
含矽保護層之沈積是蝕刻製程中之單獨的步驟,其可針對不同的材料之不同的蝕刻應用而包括不同的沈積氣體組合,其中該沈積使用可行的多步驟氣體轉換程序,提供在蝕刻特徵周圍的含矽保護塗層,其包括遮罩特徵。為了完成此步驟,控制器335可致使第一控制閥337允許來自沈積氣體源312之含SiF4 的沈積氣體進入處理室300,以及致使第二控制閥339避免來自蝕刻劑氣體源314的蝕刻氣體進入處理室。控制器335亦可控制由第一和第二RF源344、348所提供的功率以及排出幫浦320。控制器亦可用於控制晶圓區壓力、後側He冷卻壓力、基板上的偏置、以及各種溫度。
之後,透過遮罩204而蝕刻介電層208,以形成特徵216,如第2C圖所示。蝕刻應用可包括(但非限制)介電元件蝕刻、介電接觸蝕刻(高縱橫比接觸HARC或波紋)、導體溝槽蝕刻(淺或深)、自對準接觸蝕刻、閘極遮罩開蝕刻、接觸蝕刻、通孔介電蝕刻、雙波紋通孔蝕刻、雙波紋溝槽蝕刻、導體閘極蝕刻、導體深溝槽蝕刻、導體淺溝槽絕緣蝕刻、以及硬遮罩開啟。較佳地,該蝕刻使用高離子能,以提供方向性蝕刻。如圖所示,該蝕刻可移除部分的保護性含矽層212。可移除在部分該些表面上的所有保護層。在此例中,已移除在遮罩204上形成側壁之保護層。保護性含矽層的其他部分可僅被局部地移除。在此例中,在遮罩204之頂表面上僅部分之保護性含矽層212已被移除。在其他實施例中,可局部地蝕刻或完全地蝕刻保護層的其他部分。為了完成此步驟,控制器335可致使第一控制閥337停止來自沈積氣體源312的沈積氣體流入至處理室300,以及致使第二控制閥339允許來自蝕刻劑氣體源314的蝕刻氣體流入至處理室。控制器335可改變由第一和第二RF源344、348所提供的功率,以及改變排出幫浦320之設定,以調整該蝕刻。控制器亦可使用於改變晶圓區壓力、後側壓力、和各種溫度,以調整該蝕刻製程。
在至少局部地蝕刻該特徵之後,決定是否蝕刻更多(步驟116)。此可藉由設定製法或藉由採取量測而完成。假如需要更多蝕刻,則該製程循環回到步驟108,其中沈積額外的矽保護層218在該遮罩上,如第2D圖所示。在此例中,舊保護層的其餘部分變為新矽保護層218的部分。在此步驟中,控制器335再次開啟第一控制閥337以提供沈積氣體,以及關閉第二控制閥339以停止蝕刻氣體流。控制器335亦可改變其他參數,以調整該沈積。
該特徵接著透過遮罩而進一步蝕刻(步驟112),提供一較深特徵216,如第2E圖所示。在此步驟中,控制器335再次關閉第一控制閥337以停止沈積氣體,以及開啟第二控制閥339以允許蝕刻氣體流。控制器335亦可改變其他參數,以調整該蝕刻。
較佳地,此提供交替的沈積和蝕刻步驟之循環或迴圈係重複多於一次。較佳地,此循環重複多於三次。較佳地,此循環重複至少五次。此循環可重複數十次。亦可希望重複此循環100次。
在其他實施例中,可在步驟108之前增加蝕刻步驟,用於將矽保護層沈積在遮罩上。
較佳地,該蝕刻以及該沈積保護層係在相同室中完成,然而亦可在不同室中完成。由於沈積和蝕刻係在相同室中完成,可快速地完成沈積和蝕刻之間的循環。
較佳地,該遮罩係為有機材料,其中有機材料係定義為光阻、聚合物、或非晶碳。亦可使用無機材料作為遮罩。用於介電蝕刻的無機材料遮罩之範例包括多矽遮罩和金屬氧化物遮罩。用於有機材料遮罩之材料的範例可包括(但不限於)較新一代的光阻,例如,深UV光阻、193 nm光阻、157 nm光阻、EUV光阻、e-光束光阻、和x-光光阻、以及其他非微影遮罩,例如非晶碳。較舊一代的光阻聚合物材料係設計為含有未飽和C-C鍵,例如C-C雙鍵,以及偶數C-C三鍵,以提供所需之高抗蝕刻性,亦即,對蝕刻氣體混合物的化學惰性。這些鍵是強的且需要高活化能以破壞,且因此,由於離子能相對低,較舊一代光阻可明顯地顯示對蝕刻氣體混合物之低蝕刻率。較新一代的光阻(包括193 nm和157 nm)並未包含這些未飽和鍵,因為這些未飽和鍵在微影曝光波長上吸收,而導致較低之光阻抗蝕刻性。在蝕刻階段期間,藉由提供在光阻上含矽保護塗層,即使是在高離子撞擊能,光阻的抗蝕刻性仍會大為改善。高離子撞擊能(本發明可以其改善該遮罩之抗蝕刻性)可為50~2000 eV。更佳地,離子撞擊能可為200~1500 eV。最佳地,離子撞擊能是500~1000 eV。
在此實施例中,在蝕刻進行期間,原處電漿化學製程係用於增強及/或修補該遮罩,以及蝕刻特徵之垂直側壁。在此實施例中,電漿化學沈積製程係在該晶圓曝光至蝕刻電漿所欲的時間之前及/或之後開始一段短時間。以矽薄膜係形成在遮罩圖案上,以保護該遮罩不受之後的蝕刻侵蝕之方式選定沈積製程。此改變遮罩圖案的表面組成,使得遮罩作用如同虛擬硬遮罩,其具有矽硬遮罩的某些有益蝕刻特性。
單一蝕刻沈積蝕刻循環
第5圖係為本發明另一實施例的高階流程圖。在此實施例中,光阻遮罩係設於待被蝕刻的層上(步驟504)。第6A圖顯示在基板上的光阻遮罩604,其已設於待被蝕刻的介電層608上,其位於氮化矽阻障層610上方。將該基板置於處理室中(步驟506)。處理室可為如第3圖所示的處理室300、或其他處理室。特徵被蝕刻至弓彎深度(dB )(步驟508),如第9圖所示。弓彎深度(dB )係為針對所欲之特徵/膜堆疊在整體蝕刻製程已完成之後發生的弓彎之深度。弓彎深度(dB )可藉由(例如)橫剖面掃描電子顯微鏡(SEM)來判斷。在一範例中,弓彎深度係達到介於0.2至0.5 μm。然而,弓彎深度係與膜堆疊的類型、蝕刻深度、和蝕刻時間有關,且因此弓彎深度依據不同條件而改變。
沈積保護性含矽層618(步驟512),如第6C圖所示。在較佳實施例中,藉由提供包含SiF4 之沈積氣體來形成保護矽層618。電漿係從沈積氣體形成。電漿沈積保護性含矽層618。如先前實施例所述,可調整電漿參數,以選擇性地將矽沈積在該遮罩的頂表面上,而在該遮罩的頂表面上形成最厚層。電漿可接著較低選擇性地沈積在特徵之側壁上,以在特徵之側壁上方形成較薄層。該電漿最低選擇性地沈積在特徵之底部上。如前所述,使用SiF4 和適當的電漿參數可使不沈積在特徵616之底部上,如圖所示。接著完成特徵之蝕刻(步驟516),如第5D圖所示。剝除該遮罩(步驟520)。
單一沈積蝕刻循環
第7圖係為本發明另一實施例的高階流程圖。在此實施例中,遮罩設於待被蝕刻的層上(步驟704)。第8A圖顯示在基板上的遮罩804,其已設於待被蝕刻的介電層808上,其位於氮化矽阻障層810上方。將基板置於處理室中(步驟706)。處理室可為如第3圖所示的處理室300、或其他處理室。沈積保護性含矽層818(步驟708),如第8B圖所示。如先前實施例所述,可調整電漿參數,以選擇性地將矽沈積在該遮罩的頂表面上,而在該遮罩的頂表面上形成最厚層。電漿可接著較低選擇性地沈積在遮罩之側壁上,以在遮罩之側壁上方形成較薄層。該電漿最低選擇性地沈積在該介電層之頂表面上。如前所述,使用SiF4 和適當的電漿參數可使矽不沈積在介電層80之頂表面上,如圖所示。在此實施例中,其中特徵尚未被蝕刻至介電層中,特徵之底部係為遮罩特徵之底部,其係為介電層之頂表面。
在此實施例中,單一主要蝕刻可用於完全地蝕刻一特徵816(步驟712)至阻障層810,如第8C圖所示。接著剝除遮罩(步驟716),如第8D圖所示。
在此實施例中,保護性含矽層係用於保護該遮罩,而非用於側壁剖面保護。
益處
在習知PECVD中,基板係置於接地托架上,並被加熱至數百℃。沈積電漿藉由提供RF電力給上電極、或藉由使用感應耦合電漿(ICP)來維持。此習知PECVD方法並不適用於一般反應性離子蝕刻(RIE)類型的電漿蝕刻器,其中晶圓係置於RF供電的下電極之上,且上電極係通常接地或藉由個別頻率而驅動。再者,由於主動裝置熱預算和危險考量,晶圓電極加熱一般限制於100℃以下。以SiF4 為基的PECVD暗示RIE模式電漿將不提供適當沈積,由於該膜係藉由同步蝕刻和濺鍍而連續地移除。已非預期地發現,在最佳條件之下,利用RIE模式電漿以適當速率可沈積堅固之膜。再者,增加RF偏壓功率之最理想量(例如,2 MHz或其他低頻功率,其中低頻功率係界定為5 MHz以下)可改善沈積非均勻性和膜堅固性。最理想的2 MHz功率較佳為0~1000 W、或更佳為5~500 W。可使用提供低偏壓能量的其他方法。待被蝕刻的該層可為介電層(例如氧化矽)、或導電層(例如,金屬和矽或其他類型的半導體)、或硬遮罩層(例如,氮化矽和氧氮化矽)。為了要蝕刻導體層,可在蝕刻步驟中使用鹵素,例如:氯、氟、或溴。
一些實施例的其他益處在於避免蝕刻錐狀化和蝕刻停止。
已非預期地發現到,將H2 添加至包含SiF4 之保護層氣體允許控制沈積製程。
在本發明較佳實施例中,由於一些混合減低了具有個別沈積和蝕刻製程的效能,希望有一些沈積氣體之成份不會與蝕刻氣體之成份混合。因此,控制器應對氣體流計時,使得在添加另一氣體之前耗盡一氣體。在上述實施例中,並未在蝕刻期間提供SiF4
在蝕刻和沈積期間,可使用氬以外的其他惰性氣體作為載體氣體。另一惰性氣體之範例可為氦、氖、及/或氙。
在較佳實施例中,在介電蝕刻期間,並不需要重聚合化學物質。低聚合蝕刻化學物質幫助避免錐狀化和蝕刻停止。
在較佳實施例中,可使用典型HAR氟化碳蝕刻化學物質,而不加入個別重聚合成份,例如CH3 F或CH2 F2
測試結果
執行以下測試:測試1:整體矽晶圓沈積和蝕刻特徵化此測試說明使用SiF4 以將含矽膜沈積在裸矽晶圓上之方法。在此例中,將使用以下製程條件:晶圓區壓力(WAP)100 mTorr、500 W的60 MHz RF、100 sccm的SiF4 、190 sccm的H2 、225 sccm的Ar、饋送氣體分佈70%中心重量、下電極溫度60℃、上電極溫度100℃、針對內部和外部區域的後側氦壓力30 Torr、以及處理時間180秒。已沈積膜以KLA F5X的橢圓計描繪其特性。此測試提供具有143.3 nm的平均厚度、47.8 nm/min平均沈積速率、以及11.3%的3-σ均勻度之矽層沈積,在具有19.7 nm範圍(13.7%)之整體晶圓上方(16.1 nm)。已沈積膜接著經歷60秒的典型HAR氧化物蝕刻製程。已蝕刻晶圓接著以新膜模式之KLA F5X的橢圓計描繪其特性。因此,矽層具有57.1 nm/min的平均蝕刻速率、以及36.5%的3-σ均勻度,在具有27.1 nm/min範圍(47.6%)之整體晶圓(20.8 nm/min)上方。
測試2:以2 MHz RF偏壓的沈積製程之比較在此測試中,所有製程條件係與測試1中的製程條件相同,除了同時施加200 W的2 MHz之RF偏壓功率以外。此測試係提供具有133.3 nm的平均厚度、44.43 nm/min平均沈積速率、以及6.6%的3-σ均勻度之矽層沈積,在具有10.4 nm的範圍(7.8%)之整體晶圓上方(8.7 nm)。已沈積膜接著經歷60秒的典型HAR氧化物蝕刻製程。已蝕刻晶圓接著以新膜模式之KLA F5X的橢圓計描繪其特性。因此,矽層具有46.4 nm/min的平均蝕刻速率、以及7.5%的3-σ均勻度,在具有5.6 nm/min範圍(12.0%)之整體晶圓(3.5 nm/min)上方。增加2 MHz的RF功率顯著地改善沈積均勻度(在3-σ上46%之減低)以及對HAR氧化物蝕刻的抗蝕刻性(蝕刻率減低23%)。
測試3:在局部蝕刻之圖案化晶圓上的沈積在此測試中,對於已由典型HAR氧化物蝕刻電漿的300秒蝕刻的晶圓,施加如同測試2般相同的沈積製程120秒。測試3的橫剖面掃描電子顯微鏡(SEM)分析結果係如以下表1所給定。為了比較,亦分析僅蝕刻300秒之樣品(比較範例3a)並摘要於表1中。該資料顯示上部CD之劇烈縮減(44%)而沒有任何收縮或底部插接。事實上,非預期地發現到在SiF4 沈積製程的期間,孔深度被延伸為152 nm。此證明以SiF4 為基的沈積製程是用以縮減遮罩CD而不在高縱橫比孔洞中致使蝕刻停止的有效方法。
測試4:圖案化晶圓的後沈積蝕刻在此測試中,來自測試3的晶圓以相同典型HAR氧化物蝕刻電漿來進一步蝕刻100秒,以完成整體蝕刻製程。該樣品接著以氧灰化電漿來處理,以移除遮罩層,以及針對SEM橫剖面化。結果(範例4)係在以下表2中給定。為了比較,範例4a顯示樣品經歷2步驟蝕刻(300秒加上100秒)之HAR蝕刻而沒有SiF4 沈積步驟的結果。如表中所示,在第二樣品(蝕刻-沈積-蝕刻)中幾乎是完全地消除弓彎。氧灰化電漿從二樣品移除有機遮罩。相對照之下,無機含矽鈍化層存有氧灰化電漿,且在第二樣品的SEM中是清楚可見的。這是SiF4 沈積製程係自遮罩保角地沈積一含矽膜薄層之直接證據,其往下地深入已蝕刻之孔洞。以矽為基的側壁鈍化膜對非所欲之橫向蝕刻具有更高的抗蝕性,因此使剖面之弓彎最小化。此範例顯示在局部蝕刻之後施加SiF4 沈積是一種有效的弓彎防護方法。
測試5:連續蝕刻-沈積-蝕刻製程在此測試中,該晶圓以單一製法中的下列程序來連續地處理:200秒之典型HAR蝕刻、60秒之SiF4 沈積、和200秒之典型HAR蝕刻。經處理之晶圓接著經歷O2 灰化電漿、和針對SEM橫剖面化。結果如以下表3所示(範例5)。在400秒之連續蝕刻(範例5a)之比較範例中,由於嚴重的條紋導致上部CD被弓彎為148 nm。嚴重的條紋係為遮罩層之毀害和扭曲之結果。相對照之下,範例5之由上而下的SEM顯示在蝕刻完成之後HAR之圓形開口沒有條紋。表3亦顯示在蝕刻期間,施加SiF4 沈積步驟時,在蝕刻之後會餘留較多遮罩。除了上部條紋以外,參見第10圖,比較範例5a顯示介於上部和弓彎深度之間的129nm之頸形(縮減)CD(第10圖中之w4)。相對照之下,在蝕刻期間未施加SiF4 沈積的樣品中並未觀察到頸形。此範例顯示在HAR蝕刻製程之間施加SiF4 沈積不僅可以縮減弓彎,亦可以保護遮罩層,因此減低條紋、上部CD弓彎、和頸形。
測試6:上部CD縮減的後沈積在此測試中,晶圓以單一製法中首先以30秒的SiF4 沈積來處理,接著400秒的典型HAR氧化物蝕刻。結果如表4所示。為了比較,來自直接蝕刻而沒有SiF4 預先沈積的資料(比較範例5a)亦被列入表4中。如表中所示,在蝕刻之前施加30秒的SiF4 的沈積達成36 nm的上部CD縮減。再者,在預蝕刻SiF4 沈積處理之樣品中會餘留較多遮罩。此顯示在蝕刻期間,SiF4 預沈積保護遮罩層。
第11圖係為使用本發明的實施例之一所蝕刻之特徵1116的概要圖。如圖所示,特徵1116並不具有弓彎,然而具有垂直剖面。
雖然係以較佳實施例敘述本發明,但對其加以修改、變更及各種等效之取代仍不脫離本發明之範圍。亦應注意本發明之方法及裝置可以許多其他方式加以實行。因此,以下所附之申請專利範圍係刻意解讀為包含所有修改、變更及各種等效之取代而不脫離本發明之精神與範圍。
204...遮罩
208...介電層
210...阻障層
212...保護性含矽層
216...特徵
218...矽保護層
300...處理室
302...限制環
304...上電極
308...下電極
310...氣體源
312...排出幫浦
314...蝕刻劑氣體源
316...額外氣體源
320...排出幫浦
328...反應器蓋
335...控制器
337...第一控制閥
339...第二控制閥
340...電漿容室
341...第三控制閥
343...氣體入口
344...第一RF源
348...第二RF源
352...室壁
380...基板晶圓
400...電腦系統
402...監視器
404...顯示器
406...殼體
408...硬碟機
410...鍵盤
412...滑鼠
414...磁碟
420...系統匯流排
422...處理器
424...記憶體
426...固定式磁碟
430...喇叭
440...網路介面
604...光阻遮罩
608...介電層
610...阻障層
616...特徵
618...保護性含矽層
804...遮罩
808...介電層
810...阻障層
816...特徵
818...保護性含矽層
904...光阻遮罩
908...介電層
910...阻障層
916...特徵
1016...特徵
1116...特徵
本發明藉由範例而描述如下,而非用以限制本發明之範圍,在伴隨附圖之圖式中,其中相似元件符號係參照相似元件,且其中:第1圖係為一新式蝕刻製程的流程圖。
第2A至2F圖係為使用該新式製程來形成一特徵的概要圖。
第3圖係為可用於實施本發明的一系統之概要圖。
第4A至4B圖係為可用於實施本發明的一電腦系統之概要圖。
第5圖係為本發明的另一實施例。
第6A至6D圖係為使用該新式製程來形成一特徵的概要圖。
第7圖係為本發明另一實施例之更具體的流程圖。
第8A至8D圖係為使用該新式製程來形成一特徵的概要圖。
第9圖係為使用導致弓彎的製程之習知技藝中已蝕刻的一特徵之概要橫剖面圖。
第10圖係為使用導致弓彎的製程之習知技藝中已蝕刻的另一特徵之概要橫剖面圖。
第11圖係為使用本發明一實施例之已蝕刻的一特徵之概要橫剖面圖。

Claims (19)

  1. 一種用於在一介電層中蝕刻特徵的方法,包含:在該介電層上形成一遮罩;在該遮罩的暴露表面上形成一保護性含矽塗層,其中該形成該保護性含矽塗層包含:提供包含SiF4 與H2 的一保護性塗敷氣體;將該保護性塗敷氣體轉換成一電漿;沈積來自該電漿的該保護性矽塗層;以及停止該保護性塗敷氣體;以及將該些特徵蝕刻穿過該遮罩和保護性含矽塗層。
  2. 如申請專利範圍第1項所述之方法,其中該些特徵具有底部,其中該形成一保護性含矽塗層並未將該含矽塗層沈積在該些特徵的該些底部上。
  3. 如申請專利範圍第1項所述之方法,其中將該些特徵蝕刻穿過該遮罩和保護性含矽塗層包含:提供未具有CH3 F和CH2 F2 的一蝕刻氣體,以及從該蝕刻氣體形成蝕刻該些特徵的一電漿。
  4. 如申請專利範圍第3項所述之方法,更包含在形成該保護性矽塗層之前,將該些特徵局部地蝕刻至該介電層達一弓彎深度。
  5. 如申請專利範圍第3項所述之方法,其中該形成該保護性矽塗層和蝕刻該特徵係循環地執行至少三個循環。
  6. 如申請專利範圍第1項所述之方法,其中該沈積該保護性塗層包含提供介於5和500W之間的一偏壓功率。
  7. 如申請專利範圍第6項所述之方法,其中該提供一偏壓功率包含提供一低頻RF信號。
  8. 如申請專利範圍第7項所述之方法,其中該些特徵具有垂直剖面。
  9. 如申請專利範圍第1項所述之方法,更包含在形成該保護性矽塗層之前,將該些特徵局部地蝕刻至該介電層達一弓彎深度。
  10. 如申請專利範圍第1項所述之方法,其中該遮罩係為一有機材料遮罩。
  11. 一種用於在一介電層中蝕刻特徵的方法,包含:在一介電層上形成一遮罩;將特徵局部地蝕刻至該介電層;在該些局部地蝕刻的特徵之側壁上形成一保護性含矽塗層,其中該形成該保護性含矽塗層包含:提供包含SiF4 與H2 的一保護性塗敷氣體;將該保護性塗敷氣體轉換成一電漿;沈積來自該電漿的該保護性矽塗層;以及停止該保護性塗敷氣體;以及完全地蝕刻該些特徵。
  12. 如申請專利範圍第11項所述之方法,其中該些局 部地蝕刻的特徵係將該些特徵蝕刻達一弓彎深度。
  13. 如申請專利範圍第12項所述之方法,其中該些特徵具有底部,其中該形成一保護性含矽塗層並未將該含矽塗層沈積在該些特徵的該些底部上。
  14. 如申請專利範圍第11項所述之方法,其中該沈積該保護性塗層包含提供介於5和500W之間的一偏壓功率。
  15. 如申請專利範圍第14項所述之方法,其中該提供一偏壓功率包含提供一低頻RF信號。
  16. 如申請專利範圍第15項所述之方法,其中該些特徵具有垂直剖面。
  17. 如申請專利範圍第11項所述之方法,其中該遮罩係為一有機材料遮罩。
  18. 如申請專利範圍第11項所述之方法,其中該完全地蝕刻該些特徵包含:提供未具有CH3 F和CH2 F2 的一蝕刻氣體,以及從該蝕刻氣體形成蝕刻該些特徵的一電漿。
  19. 一種用於在配置於一遮罩下方的一介電層中形成特徵的裝置,包含一電漿處理室,包含:一室壁,形成一電漿處理室封閉體;一基板支承體,用於支承在該電漿處理室封閉體中的一基板;一壓力調整器,用於調整該電漿處理室封閉體中 的該壓力;至少一電極,用於提供電力至該電漿處理室封閉體,以維持一電漿;一氣體入口,用於將氣體提供至該電漿處理室封閉體;以及一氣體出口,用於將氣體從電漿處理室封閉體排出一氣體源,與該氣體入口作流體連接,該氣體源包含:一含矽沈積氣體源;以及一蝕刻氣體源;以及一控制器,其可控制地連接至該氣體源和該至少一電極,該控制器包含:至少一處理器;以及電腦可讀取媒體,包含:用於在該遮罩的暴露表面上形成一含矽塗層的電腦可讀取碼,包含:用於提供來自該含矽氣體源的一含矽沈積氣體之電腦可讀取碼;用於將該含矽沈積氣體形成為一電漿之電腦可讀取碼;用於將來自該電漿的一含矽層沈積在該遮罩的暴露表面上之電腦可讀取碼;以及用於中止該提供來自該含矽氣體源的該 含矽沈積氣體之電腦可讀取碼;用於將特徵蝕刻穿過該遮罩和保護性含矽層之電腦可讀取碼,包含:用於提供來自該蝕刻氣體源的一蝕刻氣體之電腦可讀取碼;用於將該蝕刻氣體形成為一電漿之電腦可讀取碼,該電漿將特徵蝕刻至該介電層;以及用於中止該提供來自該蝕刻氣體源的該蝕刻氣體之電腦可讀取碼。
TW096129259A 2006-08-22 2007-08-08 用於電漿蝕刻性能增強的方法 TWI453814B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/508,725 US7977390B2 (en) 2002-10-11 2006-08-22 Method for plasma etching performance enhancement

Publications (2)

Publication Number Publication Date
TW200818313A TW200818313A (en) 2008-04-16
TWI453814B true TWI453814B (zh) 2014-09-21

Family

ID=39129128

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096129259A TWI453814B (zh) 2006-08-22 2007-08-08 用於電漿蝕刻性能增強的方法

Country Status (6)

Country Link
JP (1) JP5085997B2 (zh)
KR (1) KR101468213B1 (zh)
CN (1) CN101131927A (zh)
MY (1) MY148830A (zh)
SG (1) SG140538A1 (zh)
TW (1) TWI453814B (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5662079B2 (ja) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
JP6001940B2 (ja) * 2012-07-11 2016-10-05 東京エレクトロン株式会社 パターン形成方法及び基板処理システム
US20140051256A1 (en) * 2012-08-15 2014-02-20 Lam Research Corporation Etch with mixed mode pulsing
JP2014225501A (ja) 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN104616956B (zh) * 2013-11-05 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备及方法
JP6331452B2 (ja) * 2014-02-19 2018-05-30 愛知製鋼株式会社 有機膜のエッチング方法
JP6549765B2 (ja) 2014-06-16 2019-07-24 東京エレクトロン株式会社 処理方法
CN105336665B (zh) * 2014-06-19 2019-01-29 中芯国际集成电路制造(上海)有限公司 基于超低k电介质的互连结构的制造方法及制造的产品
JP2017098478A (ja) 2015-11-27 2017-06-01 東京エレクトロン株式会社 エッチング方法
JP6584339B2 (ja) * 2016-02-10 2019-10-02 Sppテクノロジーズ株式会社 半導体素子の製造方法
KR102362462B1 (ko) 2016-03-29 2022-02-14 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
JP6770848B2 (ja) 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
KR102362282B1 (ko) 2016-03-29 2022-02-11 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US10658194B2 (en) * 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
CN106856163A (zh) * 2016-11-22 2017-06-16 上海华力微电子有限公司 一种高深宽比图形结构的形成方法
KR102312245B1 (ko) * 2016-12-02 2021-10-13 에이에스엠엘 네델란즈 비.브이. 에치 파라미터를 변화시키는 방법
JP6415636B2 (ja) * 2017-05-25 2018-10-31 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP7037384B2 (ja) * 2018-02-19 2022-03-16 キオクシア株式会社 半導体装置の製造方法
JP2020064924A (ja) * 2018-10-16 2020-04-23 東京エレクトロン株式会社 窒化膜の成膜方法および半導体装置の製造方法
JP7174634B2 (ja) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
WO2020121540A1 (ja) * 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置
JP7235864B2 (ja) 2019-02-11 2023-03-08 長江存儲科技有限責任公司 保護層のin-situ形成を伴う新規のエッチング処理
JP7422557B2 (ja) * 2019-02-28 2024-01-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP7390199B2 (ja) 2020-01-29 2023-12-01 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
JP2022150973A (ja) 2021-03-26 2022-10-07 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JPWO2023166613A1 (zh) * 2022-03-02 2023-09-07
CN115513051B (zh) * 2022-11-04 2023-02-10 合肥晶合集成电路股份有限公司 硬掩模层返工方法及dmos形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5501893A (en) * 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
TWI255502B (en) * 2005-01-19 2006-05-21 Promos Technologies Inc Method for preparing structure with high aspect ratio
TWI257129B (en) * 2004-06-30 2006-06-21 Taiwan Semiconductor Mfg Dual damascene trench formation to avoid low-k dielectric damage

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08195380A (ja) * 1995-01-13 1996-07-30 Sony Corp コンタクトホールの形成方法
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5501893A (en) * 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
TWI257129B (en) * 2004-06-30 2006-06-21 Taiwan Semiconductor Mfg Dual damascene trench formation to avoid low-k dielectric damage
TWI255502B (en) * 2005-01-19 2006-05-21 Promos Technologies Inc Method for preparing structure with high aspect ratio

Also Published As

Publication number Publication date
KR101468213B1 (ko) 2014-12-03
JP2008060566A (ja) 2008-03-13
KR20080018110A (ko) 2008-02-27
CN101131927A (zh) 2008-02-27
MY148830A (en) 2013-06-14
TW200818313A (en) 2008-04-16
JP5085997B2 (ja) 2012-11-28
SG140538A1 (en) 2008-03-28

Similar Documents

Publication Publication Date Title
TWI453814B (zh) 用於電漿蝕刻性能增強的方法
US7977390B2 (en) Method for plasma etching performance enhancement
JP4971978B2 (ja) ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6833325B2 (en) Method for plasma etching performance enhancement
JP5087271B2 (ja) ガス化学反応の周期的変調を用いたプラズマエッチング方法
US7241683B2 (en) Stabilized photoresist structure for etching process
EP1856717A2 (en) Stabilized photoresist structure for etching process
IL190716A (en) Method for plasma etching
TWI692809B (zh) 蝕刻方法
TWI722187B (zh) 蝕刻方法
JP2021028959A (ja) エッチング方法及び基板処理装置
US11201063B2 (en) Substrate processing method and substrate processing apparatus