TW538476B - Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas - Google Patents

Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas Download PDF

Info

Publication number
TW538476B
TW538476B TW090105633A TW90105633A TW538476B TW 538476 B TW538476 B TW 538476B TW 090105633 A TW090105633 A TW 090105633A TW 90105633 A TW90105633 A TW 90105633A TW 538476 B TW538476 B TW 538476B
Authority
TW
Taiwan
Prior art keywords
scope
etching
patent application
item
magnetic field
Prior art date
Application number
TW090105633A
Other languages
English (en)
Inventor
Liu Jingbao
Takehiko Komatsu
Hongqing Shan
Keji Horioka
Bryan Y Pu
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW538476B publication Critical patent/TW538476B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Description

538476 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明( 發明領域 本發明大致關係於電漿蝕刻。特別是,本發明關係於 一種以電場加強型電漿蝕刻機’蝕刻於半導體積體電路中 之氧化物層。 發明__背景 現行矽積體電路包含百萬計至千萬計之互相連接之 半導體裝置。此高度積集度已經至少部份藉由最小特性尺 寸及提供多重接線層之水平延伸金屬化線加以完成。介電 層分離開諸接線層,諸接線層係選擇地連接至小面積垂直 金屬化内連線。於一介電層分離兩金屬化層時,當垂直内 連線連接這些金屬化層時,其係被稱為一導孔,當其連接 第一金屬化層至建立在矽基材上之半導體裝置時,係被稱 為一接觸孔。本發明將主要描述有關藉由乾電漿蝕刻,以 形成導孔或接觸孔。於此等孔被蝕刻後,它們將被填充以 一金屬化,例如鎢,藉以形成垂直連接。 如以下所解釋,導孔或接觸孔之蝕刻於先進結構中之 困難度係大增,因為它們之寬度降低及深寬比增加。因為 本發明係可應用至導孔及接觸蝕刻(及其他介電質蝕刻應 用),所以”導孔”或”接觸”可以幾乎可交替地應用至以下文 中’而不必區分製程之指定部份係應用於導孔或接觸中。 層間介電質傳統上係由一石夕石為主氧化物構成,不管 其係為使用TEOS所成長於電漿CVD製程中之二氧化矽, 或一沉積為旋轉塗覆玻璃之硼磷矽玻璃,或其他介電質材 私紙張尺度適用中國國家標準(CNS)A4規格(210 (請先閱讀背面之注意事項再填寫本頁)
A7 B7
538476 五、發明說明() 料。近來’低k材料已經被開發以用於層間介電質。其低 介電質常數提供了於水平或垂直相鄰線間,低電容韓合連 接之可能性,因而降低了串音,功率消耗,及信號上升時 間。低k值介電質已經被提出具有變化組成物,部份為咬 為主,其他為碳為主。 一典型先進導孔係例示於第i圖之剖面圖中。一下層 介電層10包含一金屬特性12,例如用.於下層1〇之金屬化 線。一上介電層14係沉積於下層介電層1〇及金屬特性12 上。一化學機械研磨(CMP)步驟可以用以平坦化介電層14 之頂面。光阻層16係旋塗於上介電層14上並乾燥,及光 微影技術係用以曝光及顯影一於金屬特性1 2上之區域中 之光罩孔徑,於其中形成有導孔。於蝕刻前之有圖案光阻 的原始上万剖面係由線丨6,所示。一電漿蝕刻步驟使用光 阻層作為一光罩,以蝕刻經介電層M至下層金屬特性 1 2,以形成一導孔20。蝕刻介電質之步驟通常係被稱為氧 化物蝕刻。 於導孔20形成後,光阻係被剥離,及金屬係被沉積 入導孔20中。該結構可以較所示者更複雜,這係取決於 不同裝置製造者之特殊需要而定。金屬特性12可以是一 線性上升於介電層丨4上或可以為雙層嵌入結構,其於介 電層中組合水平溝渠及連接垂直導孔。一蝕刻停止層可能 形成於下及上介電層1 〇,丨4之間,以允許蝕刻步驟停止 於蝕刻停止層上,而不會濺射下層金屬。對於氟化物為主 電漿蝕刻矽土,氮化矽係為一良好蝕刻停止層。一抗反射 第5頁 本紙張尺度過用甲國國家標準(CNS)A4規格(210 X 297公餐― I ϋ ϋ n ϋ ϋ I n n n i n 1 · n n n ·ϋ ϋ ·ϋ n J T a n n (請先閱讀背面之注意事項再填寫本頁) 線蜂 經濟部智慧財產局員工消費合作社印製 538476 A7 五、發明說明( 經濟部智慧財產局員工消費合作社印製 1層可犯形成於上介電層丨4及光阻層1 6之間,以爾w… 於作出圖案之光罩中之微影步驟之解析度。這些額外結構 特性係為本技藝中所知。其蝕刻係典型執行於與介電層蝕 」不同之步知巾’及—整合蝕刻處理需要顯影,以組合不 同蝕刻步驟。本發明係主要有關於介電質蝕刻,其呈现難 矩之挑戰。 j私層14之厚度大致範圍為〇·7至微米。於先進 裝置中,這厚度並不被期待減少。包含多重深度導孔之較 大厚度係通常相關於更複雜之金屬化結構,其以較少處理 步驟,提供增加之裝置密度。在商用發展之晶片的導孔寬 度可能小至0,18微米。對於〇13微米寬度之技術係正發 展中。0.1 0微米寬係期待於不久之將來。 這愈來愈小之寬度對蝕刻造成了問題,特別是,於介 電質厚度基材上保持不變之情形下。導孔2〇具有愈來愈 门之木寬比 導孔之深I比係為該孔之深度對其上部份 之孔最窄尺寸間之比例。於現在,4 < 5之深寬比係被發 現於先進晶片巾。於未來晶片+,該深寬比將增加至8或 10。此高深寬比對氧化物蝕刻呈現一鉅大之挑戰,因為其 需要高非等向性蝕刻’ β深度該孔中。高深寬比孔之蝕刻 同時也需要對光阻之較高飾刻選擇性,由於孔中之較大深 度處之氧化物蝕刻降低之故。於氧化物蝕刻中所需之選擇 性及非等向性已經典型使用一碳氟化合物電製化合物加 以完成,其沉積保護聚合物於非氧化物材料及所有2直側 壁上。另一方自,於能量離子轟擊現出中之氟電聚與下方 以協助用 (請先閱讀背面之注意事項再填寫本頁) * — — — — — — I I I — — — — — — — — — — — — —III — — — — ! — 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐 538476 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 氧之組合破壞了形成於予以蚀刻之矽石孔底部之聚八 物,並曝露下層矽石至蝕刻劑,該蝕刻劑將之轉換為揮發 性成份,而由該孔被抽出’藉以蝕刻該孔。然而,若來成 有太多?fc合物’孔被插基以聚合物,及银刻於到達孔之底 部前停止。在相同情況下’沒有進一步之蝕^係有效以完 成蝕刻處有害結果被稱為蝕刻停止 為此等需之微影成像術典型Μ 線(DUV)光。敏輻射之光阻係可以使之 厚度必須限制為略大於最小孔寬度。否則,微 成散焦於光阻之深度上。然而,光阻典_為一碳為主^ 合物,其係傾向於一些程度之蝕刻化學。結果,光阻劑之 深度由示於第1圖之原始分佈16,減少至分佈16。再者, 於多數蝕刻化學劑,曝露角落係較平坦表面蝕刻更快,使 得多數嚴重選擇性問題係經常修改形成於光阻層Μ之上 角落於光罩中之有圖案孔的小刻自22中。例如光阻之聚 合物材料的蝕刻傾向於產生小刻面,其係較所示者更; 曲。-光阻蝕刻邊際係由有圖案導孔2〇旁之光阻Μ的二 壁上之剩餘高度25所給定。若小刻面22到達下層之上介 電層14,即光阻蝕刻邊際到達零,相關於光罩之臨界尺寸 係被損失,及導孔20之上部份變成漏斗型。 | ▲史擇技^進步問題,更明確說明於小刻面22 旁’即高能量粒子濺射光罩, 千及濺射光阻材料似乎將沉積 於導孔之上側壁上。此非# > 非9句再沉積光阻使得其很難 孔剖面。為了這些理由,相、泣 匕制 士於光阻足氧化物触刻的選擇 本紙張尺度賴+賴家標準(CNS)A4規格(21〇7^· --------1------------訂--------1 (請先閱讀背面之注意事項再填寫本頁) 538476 A7 —----------------— Π7____ 五、發明說明() 性必須保持很高,及多數臨界光阻選擇性係為有關於諸小 刻面。 光阻選擇性一直注意於氧化物蝕刻,但氮化物選擇性 一般具有較大關心。然而,似乎對於很窄結構,光阻選擇 性將為於氧化物姓刻上之最困難要求。 近來發展顯示一碳氟電漿可以蝕刻至約5 : 1以上之 深寬比之氧化物中之孔,以對氮化物具有很高選擇性。黃 等人已經揭示於美國專利申請案第〇9/276,3 ii號中之特 別有利蝕刻程式,該案係申請於1999年三月25日,其係 併入作為參考。此專利申請案揭示使用具有低F/C比之重 無氫氟碳,例如之六氟丁二烯(Cjd ,作為活性蝕刻物種, 配合上較大百分比之載氣。氬係為用以電漿蝕刻之傳統載 氣’但於美國專利申請第Ο9/2%,3%號申請於b99年三 月25日及申請於1999年九月24日之第09/405,869號已 經揭不若氙被用作為載氣配合上例如之碳氟化合 物’則可以取得沒有蝕刻停止之較佳氮化物選擇性。這些 專利係同時併入作為參考。 呈現於這些專利中之化學品已經主要發展於由美國 加州聖塔卡拉應用材料公司所購得之ips蝕刻反應器上。 IPS反應器係為一高密度電漿反應器,其使用電感耦合電 漿源以產生高密度電漿(HDP),其係被定義為於延伸於電 漿護套外之電漿的整個區域上,至少1 〇 11每立方公分之離 子化密度。於IPS反應器中,RF電感線圈係主要負貴以 產生電漿,同時,另一供給至托架電極之RF電源控制直 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) - (請先閱讀背面之注意事項再填寫本頁) .鮝 訂---------線 經濟部智慧財產局員工消費合作社印製 538476 經濟部智慧財產局員工消費合作社印製 A7 B7_ __ 五、發明說明() 流自偏壓,藉以控制離子能量加速越過電漿護套至晶圓。 然而,吾人仍想要於經常稱為二極體反應器中之傳統電容 耦合電漿蝕刻反應室上,執行氧化物蝕刻。HDP反應室已 經近來開發並仍相當昂貴。再者,高密度電漿具有能力以 藉由充電介電質,創造於半導體晶片中之損壞。雖然這些 損壞機制可以被控制於HDP反應器中,但它們於產生低 電漿密度之電容耦合反應器係較少問題。 電容性耦合蝕刻反應器之例子係為例示於第2圖中之 磁場加強活性離子|虫刻(Μ E RIE)反應器3 0。此圖係基於由 應用材料公司所取得之MxP,eMax或Super-e蝕刻反應 器。其包含一接地真空室3 2,或許包含襯墊,以保護壁部。 一晶圓3 4係經由一狹缝閥開口 3 6插入於室3 2中,並被 放置於陰極托架38上,其具有一靜電夾盤40,其選擇地 夾住晶圓。夾持功率並未示出。經由托架3 8之未示出流 體冷卻通道維持托架於降低之溫度。一例如氦之熱傳遞氣 體係供給至於托架3 8之上表面中之未示出凹槽。熱傳遞 增加於托架3 8及晶圓3 4間之熱耦合效率,晶圓係被靜電 夾盤40或使用一週邊晶圓夾所夾靠向托架38。 一較佳操作於13.56MHz之RF電源42係連接至陰極 托架3 8並提供重大功率,用以產生電漿同時控制直流自 偏壓。由未示出之電流源所供給之磁線圈44包圍住室3 2 並產生一緩慢旋轉(以約秒為單位及典型少於1 0ms),水 平,基本上直流磁場,以增加電漿的密度。一真空泵系統 46經由一可調整節流閥48抽出室32。屏蔽50,52不只 第9頁 本紙張尺度適用甲國國家標準(CNS)A4規格(21〇 χ 297公釐) ---------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 538476 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 保護室32及托架38,同時,也定義一擋板54及一連接至 節流閥4 8之抽送通道5 4。 處理氣體係由氣體源5 8,60,62經由相關質流控制 器64,66,68供給至一定位於室32頂中,在晶圓34上 之石英配氣板70,並防止其越過處理區72。蚀刻氣體的 組成係為本發明之一方面之主題。分佈板70包含一歧管 74,其接收處理氣體並經由一噴氣頭與處理區72相通, 該噴氣頭具有大量之分佈孔徑76,以將處理氣體流更均勻 噴入處理區7 2中。 該3 1 1專利申請多揭示使用C4F6,於磁場加強型活性 離子蚀刻器(MERIE)MxP,eMax,或 Super-e 反應 器中之β參結果。較佳含;C4F6及大量氬,但 進一步工 _以取得值 佳效能。、1
ν.同時,也取得較 發明目的及概述: 本發明包含一處理,用以蝕刻於電容耦合電漿蝕刻反 應器中之介電質氧化物,使用重碳氟化合物,例如六氟丁 二烯(CUF6)’氬,及大量之載氣,較佳為氬。二氧化碳(c〇2: 可以另外被加入。該處理係較佳能提供相對於光阻之蝕刻 氧化物之高選擇性,因而,係特別有用於蝕刻很高深寬比 之孔。竣氟化合物係較佳為無氫並具有較2為少之低F/C 比例,更好是少於1 · 6,及最好是不超出1.5。 本發明同時包含當孔加深時,降低磁場。其更包含相 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁) έ 訂---------^ 經濟部智慧財產局員工消費合作社印製 538476 A7 五、發明說明() 對於破氣化合物降低氧數量。本處理之能力係控制聚合物 之組合及保角沉積,不只改良了用於高深寬比孔之分佈控 制’同時’也包含Si〇2以外材料,例如SisN4,多晶矽, 及金屬碎化物所作成之下層之選擇性。因此,於此所產生 之電漿組成的大範圍係適合於所有臨界介電質,例如SAC 及雙層嵌入法。 同之簡輩說明: 第1圖為南深寬比孔之剖面視圖。 第2圖為一磁場加強型電容摘合電漿蝕刻反應器之示意 圖。 第3至7圖為圖表,例示氧化物蚀刻及光阻選擇性與直流 自偏壓,反應殘留時間,磁場,RF電源,及室壓 間之關係。 圖號對照說明: 10 介電層 12 金屬特性 14 上介電層 16 光阻層 20 導孔 22 小刻面 24 南度 30 〉舌性離子蝕刻反應器 32 真空室 34 晶圓 36 狹縫閥開口 38 托架 40 靜電夾盤 42 RF電源 44 磁線圈 46 真空泵系統 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) ^--- ---11--------— — 丨 — 丨丨丨----· I I — · (請先閱讀背面之注意事項再填寫本頁) 538476 A7 B7 五、發明說明( 48 節流閥 5 2 屏蔽 5 6 抽送通道 60 氣體源 64 質流控制器 68 質流控制器 7 2 處理區 7 6 孔徑 50 54 58 62 66 70 74 屏蔽 擋板 氣體源 氣體源 質流控制 配氣板歧管 器 經 濟 部 智 慧 財 產 局 員 工 消f 合 作 社 印 製 發明詳細說明: 於氧化物中之碳氟化合物蝕刻孔中之聚合物層的形 成長久以來被認為是用以產生垂直剖面之等向蝕刻及相 對於包含光阻,氮化物,及矽之非氧化物材料之選擇性蝕 刻氧化物係重要的。對於具有很高深寬比之導孔及其他 孔,這變成對於緊密控制聚合化程度及聚合物的沉積係重 要的。雖然太小聚合物將降低對側壁之保護及對光阻,氮 化物,及其他非氧化物材料之選擇性,於很高深寬比孔中 之略過量聚合化將造成對孔底部之蝕刻停止。於聚合物中 之碳濃度將衝擊其對電漿蝕刻之抵抗力及沉積保角性。聚 合物(較向電漿抵抗力增加了光阻選擇性,而聚合物之保 角沉積協助以控制高深寬比孔蝕刻之剖面。於碳氟化合物 分子中足氟對碳比例之F/c比及這些F及c原子鍵合之分 子鍵結結構對於控制聚合化及聚合物之特性係重要的。一 高F/C比表示一強蝕刻氣體,而低F/c比例通常造成過量 第12頁 本紙張尺度適用中關家標準(CNS)A4規格(210 X 297公餐 !llltllllll — *1111!11 ·11111 ϋ n I (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 538476 A7 B7 ____-__ 五、發明說明() 之聚合化。鍵結結構將隨後決定產生更多想要原子團類型 及密度之電漿所需之處理條件。一優良鍵結結構及低F/C 比之無氫碳氟化合物cxFy,其中y/c<2,較佳係y/χ $ 1.6, 最好是y/x ^ 1.5之優點適當地允許聚合化被控制於上述 先進應用之窄聚合化窗内。 八氟環丁烷(C4F8)係為一常用氧化物蝕刻氣體,但並 未付合F / C比例之最大較佳值。八氟戊二烯 (C 5 F 8)符合較 佳之F/C比例。六氟丁二烯(c4f6)係最佳碳氟化合物,但 CUF6之其他同分異構物係為已知的。六氟苯(c6F6)具有更 低之F/C比,但其係很難使用,因為其高沸點及高液體黏 性。 為了藉由控制下聚合化,而完成此可控制性,經常吾 人想要組合低F/C碳氟化合物與高載氣分裂之優良作用與 一聚合物氧化氣體,例如氣體氧(〇2)或一氧化碳(C〇)。這 兩者中’氧係為較佳的,因為一氧化破使於電聚中之F / C 比例之控制複雜化,並傾向於產生更複雜聚合物,並且係 為有毒及具腐蝕性。因為氩係為聚合化並複雜化電漿化學 成份,所以,一般均想要使用無氫碳氟化合物。 适些低F/C比CxFy氣體之優點係由於此電漿密度範 圍中之重,典氫,碳氟化合物之特有分解態樣,而可實現 於低或中密度電漿中。吾人相信相關於電容耦合蝕刻反應 :£,例如用於磁場加強型活性離子蝕刻(MERIE)之低電漿 密度(109至10u/cm3)具有較高密度電漿(HDp)蝕刻反應器 可取彳于較佳光阻選擇性。於具有高分解速率之高密度電漿 第13頁 ^紙張尺度適用中國國家標準(CNS)A4規格(210 X 297 ^7 ------------··--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 538476 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 中,碳氟化合物處理氣體係快速地被分解為更小部份之饋 送氣體,其具有高濃度之自由氟原子團F'其對氧化物及 光阻均有很高蝕刻強度,因此,具有低光阻選擇性。過量 自由氟化物只可以為蝕刻處理所消耗,不論是氧化物或光 阻,或藉由典型由受熱矽構作之室部份之可控制驅氣所消 耗。此驅氣之可控制性係為處理參數之範圍及部件材料所 限定,並且,通常造成耗材成之缺點。同時,一高密度電 漿傾向於產生熱電子,及這些脫離開電漿之熱電子似乎會 將其本身佈植於絕緣光阻中,而使之充負電。被捕獲之負 電荷然後加速來自電漿之帶正電離子至光阻。此高能離子 將濺射該光阻。另一方面,MERIE傾向於產生較低之電子 溫度,藉以降低帶電效應。 具有這些低F/C比之蝕刻氣體的特性允許相當高磁場 被使用’而不會改變氣體分解態樣。這確保磁場可以被使 用成為一獨立調整鈕,以對聚合化形成最小衝擊下,調整 處理條件。已知於MERIE蝕刻機中之磁場增加電襞離子 化密度至109至略低於1011每立方公分之範圍。磁場增加 了私漿始、度’而不會增加直流偏壓,例如,若用以增加電 漿密度之RF功率增加,將增加直流偏壓。磁場同時強烈 地影響處理氣體破裂順序,及最後電漿組成。磁場也可能 使用較高RF功率,以較高氧化物蝕刻速率來執行處理把 而不必犧牲合理低直流偏壓。增加磁場具有降低於托架上 之直流自偏壓的作用,因而,降低了離子轟擊能量。影響 係很複雜的並涉及磁場及電場之相互作用。其 P J悉尽上,磁場 第14| 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) — — — — — — — — — — — I — ——— — — — I— ·11111111 (請先閱讀背面之注意事項再填寫本頁) 538476 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 更緊密地侷限電漿並增加其密度。較高電漿密度於電裝之 等效電路中,對於固定輸入功率,作用更多電流,因而, 降低了護套電壓。即’高電漿密度降低自偏壓。降低之直 流偏壓降低了由於高能離子轟擊觸媒之光阻角落損失, 、 因 而,增加了相對於小刻面之光阻選擇性。 另一方面,吾人相信於接近導孔底部之降低磁場係有 利於該位置創造更等向性蝕刻。深窄導孔傾向於向内斜向 其底部,由於缺乏離子轟擊之故,而造成側壁聚合物、 《更 厚累積。來自低磁場或較高直 >瓦偏壓之離子轟擊愈密,了 能使得接觸孔之底部更垂直。低磁場將同時降低由非均勺 瞬間磁場所造成之電子-電荷損壞。 由一電線圈而不是永久磁鐵所感應之磁場所提供之 可變磁場允許對磁場強度之優良調整性,該磁場強度係作 為用以藉由控制分解程度,以控制電漿組成之有效處理調 整鈕。所有處理參數之較大範圍之調整性係藉由維持用於 高深寬比接觸及導孔蝕刻及各種其他特殊應用之電漿密 度於丨〇9至1011每立方公分加以完成。例如,高磁場可以 使用於導孔蝕刻之開始部份,以維持高氧化物蝕刻率及高 光阻選擇性。於處理之結束步驟中,磁場強度可以被下調 或甚至關閉’以完成於孔底部之垂直剖v , 且口j面,或較佳底CD, 及用於邏輯裝置處理之低電子電荷損壞。 於電漿蝕刻室内之姓刻氣體的殘,每 %田時間係為控制電 漿組成之另一項處理調整鈕。最佳殘留日辛門, X田時間靶圍被認為對 完成想要電漿組成是重要的。吾人也相要 心要於電漿内之蝕刻 第15頁 --— — — — — — — — — — — ·1111111 · I 丨-丨丨丨丨 * r琦先閱讀背面之注意事項再填寫本頁) 538476
經濟部智慧財產局員工消費合作社印製 五、發明說明() 氣體 < 短殘留時間。當一例如c4F6之重無氫碳氟化合物 分子進入一電漿時,其漸進地分裂為更小實體。於短殘留 時間中,碳氟化合物CFX*具有較大分裂,而於較長殘留時 間中,隔離 < 氟原子團F*具有較大分裂。吾人相信過量之 氣原子團攻擊光阻,而,一等量之碳氟化合物原子團提供 對光阻之部份、聚合化保護。殘留時間r RES可以以下式加 以近似: ^ res^PV/F » 其中P為室壓,V為室真空,及F為總反應劑流量。 降低之殘留時間需要增加真空系統之抽送能力。例如氬之 惰性載氣之大流量可以用以調整殘留時間。例如,惰性載 氣之高流量’例如十倍高於反應氣體,完成了具有大處理 窗之聚合物控制,及更重要的是,協助控制聚合物沉積位 置’及平衡於光阻表面及垂直氧化物特性之侧壁上之正確 量聚合物。 基於上述概念,本發明利用這些低F/C比氣體之固有 優點’組合優良加工條件,包含適當電漿密度,可調整磁 場’及由先進MERIE室所提供之短殘留時間,以調整電 漿組成並取得各於各臨限介電蝕刻應用之想要聚合化。 對於以最佳處理條件來蝕刻高深寬比(HAR)孔,這些 低F/C比氣體提供一電漿,以產生具有優良電漿電阻之聚 合物塗層,其隨後保護光阻不會被太快蝕刻。而完成了高 光阻選擇性。該特有電漿組成同時提供了在未產生聚合物 沉積的條件下之低光阻蝕刻速率。由調整CxFy/〇2所取得 第16頁 本紙張尺度ϋ中國國家標準(CNS)A4規格(210 X 297公餐) ---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 538476 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 之電漿組成之可控制性,及當以碳氟化合物形成聚合物之 聚合化的程度係為以氧之聚合物去除所平衡,完成了較值 剖面控制,以及,於室清洗間,特別是,於濕清洗間之肀 均晶圓(MWBWC)之增長間距。另外,電漿包含較少自由 氟’這使彳于姓刻處理氣體對被蚀刻膜之精確組成較不敏 感。因此,於摻雜及未摻雜介電膜間需要較少調整。 當需要極端高光阻選擇性時,一氧化碳(C 〇)可以包含 作為一氣體添加物,以於氟被去除為C 0 F時,產生更均勻 破濃度之電漿,以完成較高程度之聚合化。由於電装中之 氧具有一重要地位,姓刻氧化物對氮化物之高選擇性矸以 藉由局邵來自氧化物膜之氧加以完成。 低直流偏壓可以用以存在有大於3 5或5 0高斯之磁場 中。此於低偏壓處理中之低離子轟擊能量創造了 一高光p旦 選擇性’被量測於蝕刻光阻後之小刻面上。此於触刻處理 最後步驟之磁場的變化也是一用於底CD控制之調整钮。 最佳壓力及流量同時也改良底CD控制。藉由最佳化氣體 流及處理壓力,電漿組成,更精確地說,係氟代甲燒原子 團CFX ’其中x=l’ 2或:> 之濃度,可以調整以完成原子 團最佳比例’而有利於蚀刻剖面控制,特別是底CD。最 佳壓力及流量同時改良微負載效能。順及逆微負載可以藉 由適當調整流量及壓力加以完成,該兩者可以平衡,以取 得最小微負載點。調整允許兩RF功率予以用於具有大處 理窗之處理中。低F/C比氣體之分解圖案係相當無關於所 施加之RF功率。這允許高RF功率被使用,以對晶圓處理 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------·--------tr---------線 (請先閱讀背面之注意事頊存填寫本頁) 538476 A7 B7 五、發明說明( 之其他方面有最小之衝擊。較高功率造成較高蚀刻速率, 因而,使晶圓產量對於光阻選擇性具有最少犧牲。 基於這些觀察,於氧化物中之蝕刻高深寬比接觸孔之 程式已經被開發並總結於表1。 C 4 F 6 流量(s c c m) 30 〇2流量(seem) 18 氬流量(seem) 700 偏壓功率(瓦) 2000 磁場(南斯) 100 壓力(毫托耳) 40 陰極溫度(°C ) -20 背面氦壓力(托耳) 20 時間(秒) 220 表 1
重要特性係特徵於氧相對於重碳氟化合物 經濟部智慧財產局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) C4F6之氣飞。該比例可以取決於予以蝕刻之氧化膜 之組成加以變化,具有不同氧流速之兩或更多步驟可以被 用於不同特性之接觸孔。例如,當#刻進行時,有效深寬 比改變時,氧分裂增加。於此程式之另一重要因素是不活 性稀釋氣體氬相對於C4F6之流量。氬之數量係至少1 0倍 於重碳氟化合物,較佳至少2 0倍,以協助增加蚀刻止動 邊緣。氬之大於1 0 0倍之重碳氟化合物似乎不必要。 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 538476 A7 五、發明說明(
^厚2·〇微米之BPSG氧化物層中之1有 寬〇.17夏米鄉寬比孔中,即深寬比l2: i。該程式產 生每分0.75微米之BPSG蝕刻速率及大於ι〇: 1之光阻選 擇性。光阻選擇性係被量測為(1)所蝕刻穿過氧化物厚度加 上相當過姓刻時間之距離比上(2)由原始光阻表面(於此例 係0 · 6彳政米)之低光阻小刻面角落(於此例係〇 . 2 8微米)之深 度。由側壁^斜角所量測之孔的剖面係相對於〇 ·. 1 4微米所 得底 cd 。 · 經濟部智慧財產局員工消費合作社印製 類似被開發於更窄具有光罩寬o.i微米於 0.82微米物之導孔,造成8 : 1之深寬比。該 程式係總結於表p A C4F6 流量(sccm) 30 〇 2 流量(s c c m) 23 或流量(s c c m) 700 偏壓功率(瓦) 2000 磁場(高斯) 100 壓力(毫托耳) 40 陰極溫度rc ) -20 背面氦壓力(托耳) 20 時間(秒) 120 n n n ϋ I n n n n n n n · n n ϋ ϋ l n n 一-δι » n n n ϋ I n n I (請先閱讀背面之注意事項再填寫本頁)
氧 差 之 成 組 膜 於 為 因 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公爱) 538476 A7 B7 五、發明說明() (請先閱讀背面之注意事項再填寫本頁) 之故。當此時,蝕刻速率係相當低(>0.4微米每分),由於 較小孔尺寸及TEOS膜係較BPSG膜為硬。相當低蝕刻率 同時造成相當低之光阻選擇性〜5 : 1。89.4°之接觸孔剖面 用係被完成’造成0.0 8微米之底C D。因此,程式可以適 用於很小特性尺寸,但較低值之氧化物蝕刻速率及光阻選 擇性。 於一些例子中,通常當深寬比係相當高(7至8 : 1或 更大)及水平特性尺寸係相當大時(0.3 5微米或以上)時,可 能需要兩或多數程式,以具有較佳孔剖面控制。一例子係 如表 3 〇 步驟1 步驟2 C 4 F 6 流量(s c c m) 28 28 〇2 流量(seem) 24 20 氬流量(seem) 500 500 偏壓功率(瓦) 1800 1800 磁場(南斯) 100 50 壓力(毫托耳) 40 40 陰極溫度(°C ) -20 -20 背面氦壓力(托耳) 20 20 時間(秒) 180 120 表3 經濟部智慧財產局員工消費合作社印製 於第二步驟中之氧流量係低於第一步驟中之氧流 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 538476 A7 五、發明說明( 量。此降低當孔愈深陆,$丄Λ , 寺產生為加強側壁保護所需之 ^ 聚合化,因而,降低了 父鬲 人… 弓曲纠囬。由於降低氧的步妒 於弟一步驟中之最爷田1 ^, 虱成里可以略微地增加,其可以用於 步驟程式中,而不合 $ 、早〜 ㈢不备地犧牲整個光阻選擇性。合一 一步驟同時降低建立 阿氣第 於接觸孔頸邵(於光阻/氧化物界& 之剖面)之聚合物,因而,、# 本μ "面下 因而進一步協助剖面控制。祛 此兩步驟程式完成較軍一 、’。果, ⑤早步驟蝕刻程式為直角之剖 力'時’此私式係被用以蝕刻於2·8微米厚丁e〇S膜 微米直徑接觸孔。〇.65至"微米之整體爾係以约:'5 1之光阻選擇性加以取得 · ^取仔。0.29微未之底部係取得, 有98.4。之側壁剖面角。 具 表3之第二步·驟不同於第一步驟在於較低磁場。 同所討論的,這藉由增加施加至晶圓上之有效直“ 壓’而協助底CD(較不傾斜),藉以增加於孔底部之離 轟擊能量。這特別程式係同時被執行於晶圓上,以氮二 石夕膜形成於接觸孔之底部之氧化物膜間梦基底間。=化 於2〇%之過触刻後,並未見到氮化物損失,這表㈠^ 式之優良氮化物選擇性。 王 所有上述程式具有類似特徵蝕刻氣體組 田 产 % <數 T係大約等於或略少於六氟丁二烯之數量。取決於 、并他 條件,氧對(:4匕比於〇.4: i至2:丨之間,更好是於〇 $ . …·2: 1之間,應產生相同有效作用。氬流量相對於 …二晞之流量係很高的,係至少大於十倍’較佳係 大於二十倍。雖然於一些狀汜 CO可以被加入,/ J 但較 ^紙張尺度^用中國國家標準(CNS)A4規格(210 X 297公f Γ4先閱讀背面之注意事項再填寫本頁} --------訂---------線 經濟部智慧財產局員工消費合作社印製 538476 A7 B7 五、發明說明( 佳地,於本結構中係不必要的 基於一發展於早於前三 統之研究已經顯示。該一般 趨勢及窗口係被期待為相當
總結於中 [ml
C 4 F 6 流量(s c c m) 23 〇2流量(seem) 18 Ar 流量(seem) 500 偏壓功率(瓦) 1800 磁場(高斯) 100 壓力(毫托耳) 40 陰極溫度(°C ) -20 背面He壓力(托耳) 20 時間(秒) 240 表 4 (請先閱讀背面之注意事項再填寫本頁) 訂---------線丨秦 經濟部智慧財產局員工消費合作社印製 氧化物触刻速率及光阻選擇性均顯示抵消傾向取決 於若干處理參數。一些變化顯示有很小影響,而其他則 顯示有很大傾向,一些則於測試範圍中間具有最佳值。 氧化物蝕刻速率及光阻選擇性對殘留時間之關係係 繪於第4圖中。對於上述之這些資料及程式,室容積係 為2 5公升,而電漿容積係被估計為1 0.6公升,及真空抽 氣速率為約每秒1 3 00公升。氧化物蝕刻速率係由1 04表 示,及光阻選擇性係為線106所表示。於約37及100ms 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) 538476 A7 B7 五、發明說明() f請先閱讀背面之注音?事項再填寫本頁) 間之殘留時間,氧化物蝕刻速率並未以清楚關係變化, 但其中於4 0 m s下顯示降低之蚀刻速率。然而,於3 7 m s 之殘留時間約1 0 : 1降低至於6 1 m s之約8.5 : 1之光阻 選擇性。再者,於殘留時間中之增加只略降低光阻選擇 性。這些結果顯示低於7 0 m s之殘留時間提供良好之光阻 選擇性。50ms或更少之殘留時間則更好。然而,於殘留 時間之進一步降低至3 0 m s以下,則下凹該光阻選擇性, 這最可能由於是來自用以完成殘留時間設定點之壓力降 所造成之直流偏壓增加。 蝕刻物蝕刻速率及光阻選擇性對磁場強度之關係係 示於第5圖中,線1 0 8顯示氧化物蝕刻速率之關係及線 1 1 0顯示光阻選擇性之關係。蚀刻速率於5 〇高斯以上時 大量地增加。光阻選擇性顯示接近隨著磁場成比例增 加,以值大於50高斯以上係較佳的。所增加蝕刻速率同 時被認為是由於增加磁場之電漿密度之增加,而於光阻 選擇性之增加係由於較南磁場造成之較低直流偏壓。這 些結果可以大略被歸納為35高斯之最小磁場。 經濟部智慧財產局員工消費合作社印製 與RF功率的關係係繪於第6圖中。用於氧化物蝕刻 速率之結果係由線1 1 2所表示’用於光阻選擇性之結果 係由線1 14所表示。如所期待的是,氧化物蝕刻速率隨 著RF功率增加。然而,光阻選擇性峰值係於約18〇〇瓦 附近。這是因為RF功率對電漿密度及直流偏壓有正衝擊 之結果,隨後增加於氧化物及光阻之蝕刻速率。再者, 當RF功率持續增加時,這衝擊於氧化物上係增加但於光 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱)--------- 538476 五、發明說明( 阻上係降低。有些關於此峰值之變化係被期待,例如, 對於200賴直徑晶圓之予以姓不同膜及用以最大化光阻 選擇性之RF功率較佳範圍由1 650瓦擴充至2100瓦。功 率係致隨晶圓之面積變化。 有關於改變室壓之相關結果係緣於帛7圖中。氧化 物蚀刻速率係於第5圖中之線116所表示,而光阻選擇 性係由差泉1 1 8所表示。氧化物姓刻由於25毫托耳時之 0.7微米每分降低至於7〇毫托耳之約〇·62微米每分〜 光阻選擇性於相同範圍由約9 3降低至8。明顯地,於較 低壓力《操作,對於氧化物蝕刻速率及光阻選擇性 言,係較想要的。再者,一些變化係想要的。對於高 化Μ刻速率,室壓應少於4〇毫托耳,於被剛試範= 並沒有已知下限。對於高光阻選擇性,室壓應不超出 毫料,但於PR選擇性下降處,存在有-未決定下限 如前所述,對於低室壓,殘留時間係被降低。於室壓 之下限係藉由真空系統之抽氣速度所決定,特別是= I虫刻劑流量係相當地高時。 吾人已經嘗試以基於QF8作為碳氟化合物,以最 化用於MERIE反應器之银刻程式,而完成類似之良好 果。這些努力只有部份成功。最佳程式需要使用c〇。 所取得之氧化物蝕刻速率係以取得之良好 果。:而,光阻選擇性,特別是於小刻面處係較差的 為了這些理由’吾人相信對於用於電容李馬合反應器中 C4F6係遠優於碳氟化合物,特別是,對於高深寬比孔 約 而 而 氧 内 40 上 此 佳 以 結 第24頁 本紙張尺度適时關家標準(CNS)A4規格(21_G'挪公餐_ 538476 A7 B7 五、發明說明() 其中,光阻選擇性係很重要的。 吾人相信以CUF6相對於CUFs所取得之有利結果係 由於其產生一富碳聚合物所造成。該額外之碳係可用 的’因為C4F6具有1.5之F/C’相較於C4F8之2.0。其 他無氫後氟化合物具有類似之有利F / C比,最有用係、為 具有至少四碳原子者。C^F6之其他同分異構物,例如六 氟環丁烷或全氟丁烷。雖然未於上述程式中,但具有 比例1.6及1 · 0之八氟戊一埽(C5 F8)及六氟苯(c6 ρ 6)已柄 被提出用以氧化物蝕刻。一氫原子之加入至重碳氟化合 物中應具有很少影響,若仍取得一低F/C比例的話,該 氫原子係強烈地聚合化。雖然,一富碳聚合物係有利用 於氮化物選擇性及側壁保護,但其係特別有利於光阻選 擇性。富碳聚合物模仿光阻並可能造成光阻之有效加 厚’並不會光阻解析度造成損失。光阻小刻面係同樣地 發生’但對於光阻及聚合物之組合厚度,藉以增加有效 光阻選擇性。 以上所述之程式均使用氬作為化學惰性稀釋氣體。 若使用氙的話,則可以得到更佳結果。然而,氙係為昂 貴氣體並供給短少。因此,若可以取得一滿意的程式的 話,氬係較佳的。可以期待氙可以用於最重要之應用中, 例如,於第一層(接觸)層間蝕刻中,而氬被使用作為較不 需要之上層(導孔)蝕刻。 雖然上述說明已經以高光阻選擇性來強調出所揭示 程式之優點,但此等紅式係可以用於不需要光阻選擇性 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 29?^]-------' ----- {請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製
一 · I H ·_ϋ ί n «ϋ in I nHi n in n α_ϋ ϋ I— m I I 538476 _ B7_ 五、發明說明() 之應用中,例如,若在一氮化物或其他在氧化物上之硬 罩,以及,其以不同於用於氧化物之蝕刻程式,來加以 作出光微影圖案者。 __________________ (請先閱讀背面之注意事項再填冩本頁) tr---------線—秦 經濟部智慧財產局員工消費合作社印制衣 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 538476 A8 B8 C8 D8 六 經濟部智慧財產局員工消費合作社印製 申請專利範圍 1 . 一種具有增加對光阻選擇性的蝕刻氧化物層之方法,該 方法至少包含步驟: 請 先 閱 讀 背 面 之 注 意 事 項 再 填 寫 本 頁 提供一磁場加強型電漿蝕刻反應室,其具有一托架 電極支撐一具有予以蝕刻氧化物層之基材; 將一蝕刻氣體混合物通入該室中,該氣體混合物包 含(a)—碳氟化物,具有至少四碳原子及少於2之F/C 比例,(b)氧,及(c) 一化學惰性載氣,其係由包含氬及 氙之群組中選出; 施加一磁場,實質平行於該托架電極並具有一大於 3 5高斯之場強度;及 施加一 RF電功率至該托架電極,以激勵該蚀刻氣 體混合物成為一電漿,藉以蝕刻一孔進入該由圖案光 罩所定義之氧化物層中,該光罩包含光阻在該氧化物 層上,以對光阻之小刻面至少5 : 1之蝕刻選擇性。 2. 如申請專利範圍第1項所述之方法,其中上述之第一步 驟中,該磁場係施加至大於5 0高斯之第一場強度,以 及,其中於第二步驟中,磁場係施加至第二場強度少 於第一場強度。 3. 如申請專利範圍第1項所述之方法,其中上述之碳氟化 合物係為無氫及氧對碳氟化合物之第一比例係於 0.4 : 1至2 : 1之間。 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 538476 A8 B8 C8 D8 六、申請專利範圍 4. 如申請專利範圍第3項所述之方法,其中上述之碳氟化 物具有不大於1.6之F/C比例。 (請先閱讀背面之注意事項再填寫本頁) 5. 如申請專利範圍第4項所述之方法,其中上述之碳氟化 合物包含C4F60 6. 如申請專利範圍第4項所述之方法,其中上述之載氣流 量對碳氟化合物之流量之第二比例係至少1 〇。 7. 如申請專利範圍第1項所述之方法,更包含將該室抽真 空,以維持蝕刻氣體混合於該室中之殘留時間少於70 毫秒。 8 .如申請專利範圍第1項所述之方法,其中上述之蝕刻氣 體混合物基本上不包含一氧化碳*。 9.如申請專利範圍第1項所述之方法,其中上述之蝕刻氣 體混合物另外包含一氧化碳。 經濟部智慧財產局員工消費合作社印制π 1 0. —種於一氧化物層中蝕刻一洞之方法,該方法至少包 含步驟: 提供一磁場加強型活性離子電漿蝕刻反應器; 將一蝕刻氣體混合物通入該反應器中,該氣體混合 物包含第一量之C4F6,第二量之02,及一第三量之氬, 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 538476 六 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 申請專利範圍 其中第二對第一量之第一比例係於0.4 : 1至2 : 1之 間,及第三量對第一量之第二比例係至少1 0 ; 施加一磁場於該反應器中; 施加RF功率至一托架電極,其支撐一基材,該基 材包含氧化物層以激勵該蝕刻氣體混合物成為電漿, 以蝕刻該氧化物層。 1 1.如申請專利範圍第1 0項所述之方法,其中上述之第一 比例係於0.5 : 1至1.2 : 1之間。 1 2.如申請專利範圍第1 1項所述之方法,其中上述之第二 比例係大於20。 1 3 .如申請專利範圍第1 0項所述之方法,更包含將該反應 器抽真空至至少40毫托耳之壓力。 14.如申請專利範圍第12項所述之方法,其中該真空抽氣 步驟維持於反應器中之蝕刻氣體混合物之殘留時間於 不大於70毫秒。 1 5 .如申請專利範圍第1 0項所述之方法,其中該磁場係施 加至至少3 5高斯之位準。 1 6.如申請專利範圍第1 0項所述之方法,其中上述之蝕刻 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先 閱 讀 背 δ 之 注 意 事 項 再 頁 538476 A8 B8 C8 D8 申請專利範圍 氣體混合物係基本上不包含一氧化碳 1 7.如申請專利範圍第1 0項所述之方法,其中上述之RF 功率係對200mm直徑晶圓施加於1 650至2100瓦正規 化之量。 1 8 .如申請專利範圍第1 0項所述之方法,其中上述之施加 步驟係於第一步驟中,施加磁場至至少 5 0高斯之位 準,並於第二步驟中施加磁場至少於50高斯之位準。 1 9.如申請專利範圍第1 0項所述之方法,其中上述之氧化 物層係以一層光阻加以作出圖案,以及,其中上述之 電漿蝕刻氧化物層對光阻之小刻面之選擇性係至少 請 先 閱 讀 背 之 注 意 事 項 再 !« 頁 經濟部智慧財產局員工消費合作社印製 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW090105633A 2000-03-10 2001-03-09 Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas TW538476B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/522,374 US6451703B1 (en) 2000-03-10 2000-03-10 Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas

Publications (1)

Publication Number Publication Date
TW538476B true TW538476B (en) 2003-06-21

Family

ID=24080606

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090105633A TW538476B (en) 2000-03-10 2001-03-09 Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas

Country Status (5)

Country Link
US (2) US6451703B1 (zh)
JP (1) JP2004512668A (zh)
KR (1) KR100756704B1 (zh)
TW (1) TW538476B (zh)
WO (1) WO2001068939A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910489B2 (en) 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
TWI400749B (zh) * 2004-06-03 2013-07-01 Lam Res Corp 利用氣體化學之週期性調節及烴類之添加進行電漿剝除的方法

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
EP1281193A2 (en) * 2000-05-12 2003-02-05 Tokyo Electron Limited Method of high selectivity sac etching
JP4566373B2 (ja) * 2000-09-21 2010-10-20 東京エレクトロン株式会社 酸化膜エッチング方法
US6756315B1 (en) * 2000-09-29 2004-06-29 Cypress Semiconductor Corporation Method of forming contact openings
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
WO2002050885A1 (fr) * 2000-12-21 2002-06-27 Tokyo Electron Limited Procede de gravage pour film isolant
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
JP4009087B2 (ja) 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US7033514B2 (en) * 2001-08-27 2006-04-25 Micron Technology, Inc. Method and apparatus for micromachining using a magnetic field and plasma etching
US6787475B2 (en) * 2001-09-06 2004-09-07 Zhuxu Wang Flash step preparatory to dielectric etch
US20060051968A1 (en) * 2001-12-13 2006-03-09 Joshi Ajey M Self-aligned contact etch with high sensitivity to nitride shoulder
US20030118948A1 (en) * 2001-12-21 2003-06-26 Rohit Grover Method of etching semiconductor material to achieve structure suitable for optics
US6699795B1 (en) 2002-03-15 2004-03-02 Cypress Semiconductor Corp. Gate etch process
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7049034B2 (en) * 2003-09-09 2006-05-23 Photronics, Inc. Photomask having an internal substantially transparent etch stop layer
JP4071069B2 (ja) * 2002-08-28 2008-04-02 東京エレクトロン株式会社 絶縁膜のエッチング方法
DE10246063A1 (de) * 2002-10-02 2004-04-22 Robert Bosch Gmbh Verfahren zum anisotropen Ätzen eines Siliziumsubstrates
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US6896775B2 (en) * 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
US6853142B2 (en) * 2002-11-04 2005-02-08 Zond, Inc. Methods and apparatus for generating high-density plasma
US7041230B2 (en) * 2003-01-21 2006-05-09 Lam Research Corporation Method for selectively etching organosilicate glass with respect to a doped silicon carbide
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US20040206213A1 (en) * 2003-04-18 2004-10-21 Chih-Ching Hsien Wrench having a holding structure
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US6972258B2 (en) * 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
US20050103267A1 (en) 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US7297628B2 (en) * 2003-11-19 2007-11-20 Promos Technologies, Inc. Dynamically controllable reduction of vertical contact diameter through adjustment of etch mask stack for dielectric etch
JP3998003B2 (ja) * 2004-04-23 2007-10-24 ソニー株式会社 プラズマエッチング法
US20050241764A1 (en) * 2004-05-03 2005-11-03 Letson Thomas A Baffle to reduce azimuthal etch asymmetry
EP1760769A4 (en) * 2004-05-31 2009-05-13 Nat Inst Of Advanced Ind Scien DRYING GASES AND METHOD OF DRYING
CN1778986B (zh) * 2004-06-02 2015-08-19 应用材料公司 用于密封腔室的方法和装置
US8648977B2 (en) 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US7915175B1 (en) 2004-06-25 2011-03-29 Cypress Semiconductor Corporation Etching nitride and anti-reflective coating
US7316785B2 (en) * 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20060051681A1 (en) * 2004-09-08 2006-03-09 Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut Method of repairing a photomask having an internal etch stop layer
US7205244B2 (en) 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7547504B2 (en) 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
JP2006156992A (ja) * 2004-11-05 2006-06-15 Tokyo Electron Ltd プラズマ処理方法
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
JP2007013081A (ja) * 2005-06-30 2007-01-18 Hynix Semiconductor Inc 深いコンタクトホールを有する半導体素子の製造方法
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US8399360B1 (en) 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
US20070197040A1 (en) * 2006-02-23 2007-08-23 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
US7828987B2 (en) * 2006-03-20 2010-11-09 Applied Materials, Inc. Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
KR100752189B1 (ko) * 2006-08-07 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
KR100792405B1 (ko) * 2007-01-03 2008-01-09 주식회사 하이닉스반도체 벌브형 리세스 패턴의 제조 방법
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
US20130122712A1 (en) * 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
KR101564182B1 (ko) 2012-10-30 2015-10-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
US9165785B2 (en) * 2013-03-29 2015-10-20 Tokyo Electron Limited Reducing bowing bias in etching an oxide layer
US9748366B2 (en) 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP2016207788A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 上部電極の表面処理方法、プラズマ処理装置及び上部電極
US20180269273A1 (en) * 2017-03-20 2018-09-20 Globalfoundries Inc. Interconnect structures for a metal-insulator-metal capacitor
CN111065965B (zh) 2017-09-13 2023-11-03 株式会社Lg化学 图案化基底的制备方法
US11487058B2 (en) 2020-08-13 2022-11-01 Applied Materials, Inc. Method for manufacturing optical device structures

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57108267A (en) 1980-12-26 1982-07-06 Showa Denko Kk Etching method
JPS57155732A (en) 1981-03-20 1982-09-25 Sharp Corp Dry etching
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
JPH0485928A (ja) * 1990-07-30 1992-03-18 Sony Corp ドライエッチング方法
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
JP3154128B2 (ja) * 1991-05-24 2001-04-09 ソニー株式会社 ドライエッチング方法
JP3252518B2 (ja) 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
JPH06275567A (ja) * 1993-03-19 1994-09-30 Tokyo Electron Yamanashi Kk プラズマ装置
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JPH0831802A (ja) * 1994-07-18 1996-02-02 Hitachi Ltd エッチング方法及びエッチング装置
JP3399154B2 (ja) * 1995-05-22 2003-04-21 ソニー株式会社 積層絶縁膜のプラズマエッチング方法
JPH09191002A (ja) * 1996-01-10 1997-07-22 Sony Corp プラズマエッチング方法
JP3283477B2 (ja) * 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
JP3408409B2 (ja) * 1997-10-29 2003-05-19 松下電器産業株式会社 半導体装置の製造方法およびドライエッチング装置の反応室環境制御方法
JP3905232B2 (ja) * 1997-12-27 2007-04-18 東京エレクトロン株式会社 エッチング方法
US6159862A (en) * 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
JP3973283B2 (ja) * 1998-01-19 2007-09-12 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
TWI400749B (zh) * 2004-06-03 2013-07-01 Lam Res Corp 利用氣體化學之週期性調節及烴類之添加進行電漿剝除的方法
US7910489B2 (en) 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch

Also Published As

Publication number Publication date
JP2004512668A (ja) 2004-04-22
WO2001068939A3 (en) 2002-05-30
WO2001068939A2 (en) 2001-09-20
US20020173162A1 (en) 2002-11-21
US6613689B2 (en) 2003-09-02
KR20040007210A (ko) 2004-01-24
KR100756704B1 (ko) 2007-09-07
US6451703B1 (en) 2002-09-17

Similar Documents

Publication Publication Date Title
TW538476B (en) Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6833325B2 (en) Method for plasma etching performance enhancement
JP3155513B2 (ja) 高密度プラズマ中での高アスペクト比フィーチャ用の異方性選択的窒化物エッチング方法
TW472281B (en) A stable plasma process for etching of films
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
US6387287B1 (en) Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
TW468224B (en) Techniques for etching a low capacitance dielectric layer
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
TW584672B (en) Method of plasma etching dielectric materials
US20050136682A1 (en) Method for plasma etching using periodic modulation of gas chemistry
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
TW529105B (en) Etching method of organic based insulating film and dual damascene process
TW535229B (en) Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
IL190716A (en) Method for plasma etching
JP2002520853A (ja) 二重象眼のために特に有用な、誘電体多層構造のプラズマエッチプロセス
JP4852213B2 (ja) 高選択性のsacのエッチングの方法
TW521335B (en) Etching method for insulation film
TW200818312A (en) Plasma-etching method and computer-readable storage medium
WO1999021218A1 (en) Self-aligned contact etch using difluoromethane and trifluoromethane
TW479291B (en) Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US6787475B2 (en) Flash step preparatory to dielectric etch
WO2005038906A1 (en) An etch back process using nitrous oxide
TW463253B (en) Manufacturing method for deep submicron gate

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees