KR100743873B1 - 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술 - Google Patents

플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술 Download PDF

Info

Publication number
KR100743873B1
KR100743873B1 KR1020027003976A KR20027003976A KR100743873B1 KR 100743873 B1 KR100743873 B1 KR 100743873B1 KR 1020027003976 A KR1020027003976 A KR 1020027003976A KR 20027003976 A KR20027003976 A KR 20027003976A KR 100743873 B1 KR100743873 B1 KR 100743873B1
Authority
KR
South Korea
Prior art keywords
gas
etching
processing chamber
plasma processing
approximately
Prior art date
Application number
KR1020027003976A
Other languages
English (en)
Other versions
KR20020041447A (ko
Inventor
토마스 디. 엔가이엔
조지 뮤엘러
피터 맥그래드
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20020041447A publication Critical patent/KR20020041447A/ko
Application granted granted Critical
Publication of KR100743873B1 publication Critical patent/KR100743873B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Abstract

플라즈마 처리 시스템 내에서 화학적으로 지원되는 에칭 공정을 위한 개선된 방법 및 장치가 개시된다. 본 발명의 하나의 양태에 따라, 플라즈마 처리에서 에칭 공정을 수행하기 적합한 개선된 방법이 실현될 수 있다. 본 발명은 에칭 공정과 관련된 임계 크기 바이어스를 줄이도록 작용한다. 더 낮은 임계 크기 바이어스는 많은 장점을 제공한다. 이러한 장점 중 하나는 더 높은 종횡비를 갖는 형상이 정확하게 에칭될 수 있다는 점이다. 덧붙여, 가령 마이크로 로딩, 보우잉 및 패시베이션과 같은 바람직하지 않은 몇 가지 다른 효과가 본 발명의 기술을 사용하여 줄어들 수 있다.

Description

플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술{TECHNIQUES FOR IMPROVING ETCHING IN A PLASMA PROCESSING CHAMBER}
본 발명은 반도체 집적 회로의 제작에 관한 것으로, 보다 상세하게는 플라즈마 처리 시스템 내에서 화학적으로 지원된 에칭 처리를 위한 개선된 방법에 관한 것이다.
반도체 기반 디바이스, 가령 집적 회로 또는 평면 패널 디스플레이의 제작시에, 물질의 층은 교대적으로 기판 표면에 증착되고, 이로부터 에칭될 수 있다. 제작 공정 도중에, 물질의 다양한 층, 가령 보로포스포실리케이트 글라스 (borophosphosilicate glass; BPSG), 폴리실리콘, 금속, 등은 기판 상에 증착되고, 포토레지스트 공정으로 패턴화된다. 그후, 상기 층의 부분들은 에칭되어 제거됨으로써, 다양한 형상, 가령 상호연결 라인(interconnection lines), 개구 연결부(via connection), 트렌치(trench) 등을 형성한다.
에칭 공정은 플라즈마 강화 에칭을 포함하는 공지된 다양한 기술에 의해 실행될 수 있다. 플라즈마 강화 에칭에 있어서, 실제 에칭은 전형적으로 플라즈마 처리 시스템의 플라즈마 처리 챔버 내에서 이루어진다. 기판 표면상에 원하는 패턴을 형성하기 위하여, 적절한 마스크(가령 포토레지스트 마스크)가 전형적으로 제공된 다. 플라즈마 처리 챔버 내의 기판에 대해, 그후 적합한 에칭액(etchant) 소스 기체 또는 기체들의 혼합물로부터 플라즈마가 형성된다. 마스크에 의해 보호받지 않은채 남은 영역의 에칭을 위해서 플라즈마를 사용하여, 원하는 패턴을 형성한다. 이러한 방식에 있어서, 상호연결 라인, 개구 연결부, 트렌치 및 다른 형상을 형성하기 위해 층의 부분들이 에칭되어 제거된다. 원하는 회로가 얻어질 때까지, 증착 및 에칭 공정이 반복될 수 있다.
현대의 집적회로 제작은 당업자로 하여금 에칭 공정에 대한 제어를 강화하도록 지속적으로 요구하고 있다. 가령, 현대의 집적회로에 대한 형상이 크기에서 감소해 옴에 따라, 종래의 에칭 기술을 사용하여 원하는 형상을 에칭하는 것은 점점 더 어려워지고 있다. 부연하자면, 형상이 점점 더 적어짐에 따라, 에칭 공정에 대한 균일한 에칭 속도를 얻기가 점점 더 어려워지고 있다. 가령, 형상들이 상대적으로 근접한 간격으로 위치한 영역과, 형상들이 상대적으로 넓은 간격으로 위치한 영역에 대해 동일한 에칭 속도를 얻기가 점점 더 어려워지고 있다. 이러한 문제점은 마이크로 로딩(micro loading) 효과로 언급되어 왔다.
현대의 집적회로에서 점점 더 적어지는 형상의 에칭과 관련된 다양한 문제점을 극복하기 위하여, 보다 최근의 기술은 에칭액 소스 기체를 위한 대안적인 화학물질(chemistries)을 제안해 왔다. 가령, 최근의 발전 중 하나는 마이크로 로딩 효과를 효과적으로 감소시키는 것에 부가하여 선택도를 증가시킬 수 있는 혁신적인 화학물질을 확인해 왔다. 이러한 하나의 혁신적인 화학물질은, 예를 들면 1997년 8월 27일 출원된 미국특허 출원 제08/919,659호에 기재된 C4F8, N2, 및 Ar의 혼합물이 있다.
C4F8, N2, 및 Ar의 화합물과 같은 화학물질이 마이크로 로딩에 대해 효과적이고, 선택도를 상당히 강화시킨다 하더라도, 불행히도 이들 화학물질은, 에칭된 형상의 임계 크기가 중요한 고려대상인 상황하에서, 가령 상대적으로 적은 형상이 에칭되어야 하고, 에러에 대한 여유가 상대적으로 더 적은 상황에 대해서는 적합하지 않다. 부연하자면, 일부 에칭 공정에 있어서, 형상의 원하는(또는 에칭 전) 임계 크기와 에칭후 임계 크기 사이의 차이가 존재한다. 이러한 차이는 임계 크기 바이어스(critical dimension bias)이라 언급될 수 있다. 여기에서 사용되는 임계 크기 바이어스는 형상의 원하는(또는 에칭전) 크기와 대응하는 에칭후 크기 사이의 차이를 언급한다. 주로, 에칭 공정은 형상의 임계 크기의 확대를 초래한다.
임계 크기 바이어스의 토의를 쉽게 하기 위하여, 도 1 및 도 2는 각각 에칭전 웨이퍼(100)의 단면도와 에칭후 웨이퍼(200)의 단면도를 도시한다. 웨이퍼(100)는 기판(104), 가령 실리콘의 표면 위에 배치된 적층(102)을 구비하는 것으로 도시되었다. 적층(102)은 산화물 층(106), 폴리실리콘 층(108) 및 유전 층(110)을 포함한다. 전형적으로 SiO2로 이루어진 산화물 층(106)은 실리콘 기판(104) 위에 배치된다. 산화물 층(106) 위에는 폴리실리콘 층(108)이 배치된다. 유전 층(110), 가령 BPSG, PSG(phosphosilicate glass), SiO2, 또는 TEOS 층(110)은 폴리실리콘 층(108) 위에 배치될 수 있다.
(마스크 처리된 영역(112a와 112b) 사이의) 간격(D1)은 개방된 영역(118)을 통해 층(110)을 에칭하려는 형상의 원하는(또는 에칭전) 폭 크기를 나타낸다. 가령, 상대적으로 적은 형상에 대해 간격(D1)은 대략 0.25 ㎛일 수 있다.
도 2에 도시된 에칭 공정 이후, 영역(120)이 형성된다. 영역(120)은 층(110)과, 층(108)의 일부를 통해 늘어난다. 간격(틈)(D2)은 영역(120)에서 에칭된 형상의 에칭후 폭 크기를 나타낸다. 간격(D2)은 간격(D1)보다 상당히 크다. 가령, 간격(D2)이 간격(D1)보다 0.05 ㎛ 더 커지는 것은 보기 드문 것이 아니다. 그러나, 0.25 ㎛와 같은 적은 형상에 대해 0.05 ㎛의 변동은 대략 20%의 증가를 나타낸다.
당업자라면 알 수 있듯이, 간격(D1 및 D2) 사이의 큰 차이(임계 크기 바이어스)는 상당히 바람직하지 못하다. 임계 크기 바이어스는 제작된 집적회로에서 수많은 문제점을 야기할 수 있다. 가령, 확대된 에칭 형상은 다른 에칭된 형상과 겹칠 수 있다. 에칭된 형상의 겹침은 집적회로가 불량품이 되게 할 수 있다.
더욱이, 현대의 집적회로의 크기가 줄어들어 왔기 때문에, 에칭된 형상, 가령 상호연결 라인, 개구 연결부, 트렌치, 및 다른 형상 사이의 간격은 점점 줄어들고 있다. 즉, 현대의 집적회로에 있어서, 에칭된 형상에 대한 에러의 여유(즉, 규격에 의해 요구된 원하는 크기로부터의 편향)는 점점 더 줄어들고 있다. 따라서, 에러의 여유는 점점 줄어들고 있다. 이와 같이, 임계 크기 바이어스는 실질적인 문제가 되어 왔다.
상술한 점에 비추어, 임계 크기 바이어스를 효과적으로 감소시키는 개선된 에칭 기술이 필요하다.
대체적로 말하면, 본 발명은 플라즈마 처리 시스템에서 화학적으로 지원된 에칭 처리를 위한 개선된 방법에 관한 것이다. 본 발명의 일부 실시예가 이하에서 요약된다.
하나의 실시예에 있어서, 본 출원의 개선된 방법에 사용하기에 적합한 플라즈마 처리 챔버를 포함하는 플라즈마 처리 시스템이 기술된다. 개시된 플라즈마 처리 시스템은 웨이퍼 기판상에 증착되는 물질의 선택된 층에 대해 에칭 공정을 수행하기 위하여 사용될 수 있다. 물질의 이러한 선택된 층은 알려진 증착 방법을 사용하여 증착될 수 있다.
다른 실시예에 있어서, 증착된 유전 층의 선택된 층을 에칭하기 위한 방법이 기술된다. 유전 층은 종래의 증착 방법에 의해 웨이퍼 기판상에 증착될 수 있다. 증착된 유전 층의 선택된 부분을 에칭하기 위한 방법은 플라즈마 처리 시스템 내에서 수행된다. 에칭을 위해 확인된 증착층을 구비하는 웨이퍼 기판은 플라즈마 처리 시스템 내에 위치된다. 주로 C3F6 기체와 O2 기체의 혼합물로 이루어진 에칭액 소스 기체는 플라즈마 처리 챔버 내로 주입된다. 상기 처리 챔버 내에서의 에칭액 소스 기체, 온도 및 압력이 안정된다. 그 후 본 발명의 본 실시예에 따라, 에칭 처리를 수행하기 위한 플라즈마를 점화시키기 위하여 플라즈마 처리 챔버 내의 전극에 전원이 투입된다.
본 발명은 다수의 장점을 갖는다. 한 가지 장점은 에칭 공정에 관련된 임계 크기 바이어스가 효과적으로 감소된다는 것이다. 다른 장점은 에칭 공정의 다른 바람직하지 못한 부작용, 가령 보우잉(bowing), 마이크로 로딩, 패시베이션 (passivation) 등이 줄어들 수 있다는 점이다. 또 다른 장점은 본 발명의 개선된 기술에 따라 더 높은 종횡비를 갖는 형상이 에칭될 수 있다는 점이다.
본 발명의 다른 양태 및 장점은, 예를 통해 본 발명의 원리를 도시하는 첨부된 도면과 관련하여 기술된 다음의 상세한 설명으로부터 자명해질 것이다.
본 발명은 유사한 참조 번호가 유사한 구조 요소를 나타내는 첨부된 도면과 관련한 다음의 상세한 설명에 의해 쉽게 이해될 것이다.
도 1은 플라즈마 처리 챔버 내에서 처리될 에칭전 웨이퍼의 단면도.
도 2는 플라즈마 처리 챔버 내에서 처리된 이후의 에칭후 웨이퍼의 단면도.
도 3은 본 발명의 일 실시예에 따른 플라즈마 처리 시스템을 도시하는 도면.
도 4a 및 도 4b는 본 발명의 일 실시예에 따라 도 3에 도시된 플라즈마 처리 챔버 내에서 처리될 수 있는 웨이퍼의 예시적인 단면도.
도 5는 본 발명의 일 실시예에 따라 도 3에 도시된 플라즈마 처리 챔버 내에서 증착된 층 물질을 에칭하기 위한 방법을 도시하는 흐름도.
도 6은 플루오르카본 기체 대 O2 기체의 일부 예시적인 유동 속도 비율을 도시하는 표.
본 발명은 플라즈마 처리 시스템 내에서 화학적으로 지원된 에칭 공정을 위한 개선된 방법 및 장치에 속한다. 하나의 양태에 따라, 본 발명의 새로운 화학물질(chemistries)은 플라즈마 처리 시스템 내에서 화학적으로 지원된 에칭 처리를 위한 공정 제어를 강화하기 위하여 사용할 수 있다. 특히, 본 발명은 플라즈마 처리 시스템에 의해 에칭되는 형상을 위한 임계 크기 바이어스를 상당히 감소시키도록 작용한다. 부가적으로, 본 발명은 에칭공정과 관련된 일부 바람직하지 못한 효과에 대처하기 위하여 사용될 수 있다. 하나의 실시예에서, 본 발명의 새로운 기체의 화학물질은 주로 C3F6 기체와 O2 기체의 혼합물로 이루어진다.
본 발명의 이러한 양태의 실시예는 도 3 내지 도 6을 참조하여 이하에서 논의된다. 그러나, 당업자라면, 이들 도면에 관해 본 명세서에서 기술된 상세한 설명이 단지 예시적인 목적일 뿐이고, 그 이유는 본 발명의 범주가 이들 제한된 실시예를 뛰어 넘기 때문이라는 것을 쉽게 알 것이다.
앞서 언급한 바와 같이, 본 발명의 새로운 화학물질은 플라즈마 처리 시스템 내에서 화학적으로 지원된 에칭 공정을 위한 공정 제어를 강화하기 위하여 사용될 수 있다. 이해를 돕기 위하여, 도 3은 본 발명의 일 실시예에 따른 플라즈마 처리 시스템(300)을 도시한다.
플라즈마 처리 시스템(300)은 하부 전극(302)과 상부 전극(304)을 구비하는 처리 챔버(301)를 포함한다. 웨이퍼(306)는 하부 전극(302)(가령 척(chuck)) 위에 놓인다. 상부 전극(304)은 또한 입력 기체 화학물질(310)을 처리 챔버(301)에 공급 할 수 있다. 상부 전극(304)은, 이 상부 전극(304)의 하부 에지를 에워싸는 석영 억류 링(a quartz confinement ring)(308)을 포함한다.
웨이퍼(306)의 표면은 웨이퍼 처리 챔버(301) 내로 입력 기체 화학물질(310)로서 방출되는 적합한 에칭액 소스 기체에 의해 에칭된다. 새로운 에칭액 소스 기체는 본 발명의 하나의 양태에 따라 특히 에칭 공정과 관련된 임계 크기 바이어스를 상당히 줄이기 위하여 제공될 수 있다. 하나의 실시예에 있어서, 본 발명의 새로운 기체 화학물질은 주로 C3F6 기체와 O2 기체의 혼합물로 이루어진다. 본 발명의 새로운 화학물질은 자명해질 수많은 부가적인 장점을 제공할 수 있다.
상술한 바와 같이, 에칭액 소스 기체는 상부 전극(304)을 통해 방출될 수 있다. 이러한 에칭액 소스 기체는, 웨이퍼 처리 챔버(301) 내부에 배치된 기체 링을 경유하거나, 웨이퍼 처리 챔버(301)의 벽에 내장된 포트를 경유하는 것과 같은 다른 메커니즘에 의해서도 방출될 수 있음을 주목해야 한다.
도 3에 도시된 바와 같이, 처리 챔버(301)는 제 1 방사 주파수(radio frequency; RF) 소스(314a)가 RF 정합 네트워크(312a)를 통해 상부 전극(304)에 접속된 이중 주파수 평행 플레이트 처리 장치를 사용할 수 있다. 유사한 방식으로, 하부 전극(302)은 제 2 RF 정합 네트워크(312b)를 통해 제 2 RF 소스(314b)에 접속된다. RF 소스(314a 및 314b) 각각의 한 단부가 접지(316)에 접속된다. 동작시, 처리 챔버(301)는 개구(118)를 통해 처리 기체를 배출할 수 있다.
당업자라면 알 수 있듯이, 에칭 공정의 경우, 높은 내구력(tolerance)의 에칭 결과를 유지하기 위하여 플라즈마 처리 챔버(301) 내의 다수의 파라미터가 엄격 하게 제어된다. 에칭 결과를 좌우하는 공정 파라미터는 기체 조성물, 플라즈마 여기, 웨이퍼(306) 위의 플라즈마 분포 등을 포함할 수 있다. 에칭 내구력( 및 최종 반도체 기반 디바이스의 성능)은 이러한 공정 파라미터에 상당히 민감하기 때문에, 이들의 정확한 제어가 요구된다.
일 실시예에 있어서, 웨이퍼(306)는 처리 챔버(301)에서 수행된 에칭을 포함하는 다수의 처리 동작을 거치고, 이러한 에칭은 다수의 반도체 다이(die)의 제작을 가능케 한다. 반도체 다이는 복수의 제품 가령 포장된 집적회로를 생산하기 위하여 차례로 포장된다.
가령, 처리 챔버(301)는 미국 캘리포니아 프레몬트에 소재하는 램 리써치(Lam Research)사로부터 취득 가능한 Lam Research Rainbow 4520XLE 처리 챔버가 될 수 있다. 선택적으로, 처리 챔버(301)는 또한 미국 캘리포니아 프레몬트에 소재하는 램 리써치(Lam Research)사로부터 취득 가능한 Lam Research Exelan 처리 챔버가 될 수 있다. 일부 이중 주파수 처리 챔버, 가령 Lam Research Exelan 처리 챔버에 있어서, RF 소스(314a 및 314b) 모두 하부 전극(302)에 접속됨을 주목해야 한다. 물론, 본 발명에 따라, 개선된 에칭 결과를 얻기 위하여 적합하게 배열된 다른 처리 챔버가 사용될 수 있다.
더욱이, 당업자라면 본 발명이 적합하게 배열된 다수의 다른 처리 챔버 내에서 실시될 수 있음을 이해할 것이다. 가령, 본 발명은, 용량적으로 접속된 평행 전극 플레이트를 통해, 전자 싸이클로트론 공진(electron cyclotron resonance; ECR) 마이크로웨이브 플라즈마 소스를 통해, 또는 헬리콘(helicon), 헬리컬 공진기 (helical resonators) 및 트랜스 접속 플라즈마(transformer coupled plasma; TCP)와 같은 유도적으로 접속된 RF 소스를 통해 에너지를 플라즈마에 전달하는 처리 챔버에 적용될 수 있다. 특히 ECR 및 TCP 플라즈마 처리 시스템 역시 캘리포니아 프레몬트에 소재하는 램 리써치사로부터 취득 가능하다. 적합한 처리 챔버의 다른 예는 유도 플라즈마 소스(a inductive plasma source; IPS), 분리 플라즈마 소스(a decoupled plasma source; DPS) 및 다이폴 링 마그네트(a dipole ring magnet; DRM)를 포함한다. IPS 및 DPS 플라즈마 처리 시스템은 캘리포니아 산타 클라라에 소재하는 어플라이드 머티리얼즈(Applied Materials)사로부터 취득 가능하다. DRM 소스 플라즈마 처리 설비는 일본의 도쿄 일렉트론(Tokyo Electron)사로부터 취득 가능하다.
배경기술에서 언급한 바와 같이, 반도체 제작시, 형상(features)은 반도체 웨이퍼 또는 기판 상에 형성된다. 보다 상세하게는, 다양한 물질의 연속 층이 반도체 웨이퍼 또는 기판 상에 증착될 수 있다. 그 후, 상호연결 라인, 트렌치 및 다른 형상을 형성하기 위하여 선택된 증착 층의 부분들이 에칭 제거된다.
본 발명의 추가적인 논의를 용이하게 하기 위하여, 도 4a는 플라즈마 처리 챔버, 가령 도 3에 도시된 플라즈마 처리 챔버(300)에서 처리하기에 적합한 웨이퍼(400)의 단면을 도시한다. 웨이퍼(400)는 기판(404)의 표면 위에 배치된 예시적인 적층(402)을 구비하는 것으로 도시되었다. 예를 들어, 적층(402)은 산화물 층(406), 폴리실리콘 층(408) 및 유전 층(410)을 포함할 수 있다. 전형적으로 SiO2로 이루어진 산화물 층(406)은 기판(404) 위에 배치될 수 있다. 폴리실리콘 층 (408)은 산화물 층(406) 위에 배치된다. 최종적으로, 유전 층(410) 가령 BPSG, SiO2는 폴리실리콘 층(408) 위에 배치될 수 있다.
적층(402)에 도시된 층들의 위, 아래, 또는 그들 사이에 다른 부가적인 층들이 제공될 수 있음을 주목해야 한다. 더욱이, 도시된 층들 모두가 필수적으로 제공될 필요가 있는 것은 아니고 및/또는 상기 층들의 일부 또는 전부가 다른 층으로 대체될 수 있다. 적층(402)의 예시적인 층들은 당업자에게는 쉽게 인식될 수 있을 것이며, 화학 증기 증착(CVD), 플라즈마 강화 화학 증기 증착(PECVD) 및 스퍼터링과 같은 물리 증기 증착(PVD)를 포함하는 적합한 다수의 알려진 증착 공정중 임의의 공정을 사용하여 형성될 수 있다.
다양한 형상, 가령 상호연결 라인, 개구 연결부, 트렌치 및 집적회로에서 필요한 다른 요소 영역을 형성하기 위하여, 가령 유전 층(410)을 포함하는 적층(402)의 특정 층의 부분은 적합한 에칭액 화학제품을 사용하여 에칭될 수 있다.
에칭에 앞서서, 웨이퍼(400)는 전형적으로 적합한 포토레지스트 기술을 사용하여 준비된다. 예를 들자면, 이러한 포토레지스트 기술중 하나는, 콘택트 또는 스테퍼(stepper) 리소그라피 시스템 내에서 포토레지스트 물질을 노출시킴으로써 포토레지스트 층(412)을 패터닝하는 것과, 후속 에칭을 쉽게 하기 위한 마스크를 생성하기 위하여 상기 포토레지스트 물질의 현상을 수반할 수 있다. 도 4a는 마스크 처리된 포토레스트 영역(412a 및 412b)을 도시하는데, 상기 영역은 아래에 놓인 영역을 보호하기 위한 포토레지스트 패터닝 단계 이후 남겨진 포토레지스트 층(412)의 영역을 나타낸다. 영역(418)은 에칭되지 않은 형상을 도시하는데, 이를 통해 아 래에 놓인 목표 층(들) 가령 유전체층(410)의 부분이 에칭을 위해 노출된다.
영역(418)은 (마스크 처리된 영역(412a 및 412b)사이의) 크기(D3)를 나타내는데, 이 크기는 영역(418) 내에서 유전체층(410) 안으로 에칭될 형상의 원하는 폭 크기(또는 에칭전 폭 크기)를 나타낸다. 가령, 상대적으로 적은 형상에 대해, 크기(D3)는 대략 0.25 ㎛가 될 수 있다.
에칭을 위해 일단 적절하게 마스크 처리되면, 웨이퍼(400)는 플라즈마 처리 챔버(300)와 같은 플라즈마 챔버로 방출되는 적절한 에칭액을 사용하여 에칭된다. 에칭 도중에, 에칭액에 노출되는채 남겨진 목표 영역(들) 가령, 영역(418) 내의 층(410)의 부분은 에칭되어 제거될 수 있다. 보호 포토레지스트 마스크 영역 가령 412a 및 412b의 아래에 놓이는 영역은 에칭되지 않는다. 이러한 방식으로 형상이 웨이퍼(400) 상에 형성될 수 있다.
도 4b에 도시된 바와 같이, 에칭 공정이 수행된 후, 본 발명의 일 실시예에 따라 영역(420)이 에칭된다. 영역(420)은 층(410)과, 층(408)의 일부를 통해 늘어난다. 크기(간격)(D4)는 영역(420)에서 에칭된 형상의 에칭후 폭 크기를 나타낸다.
종래의 에칭 공정과 비교하면, 에칭후 폭 크기(D4)(도 4b)는 원하는(또는 에칭전) 폭 크기(D3)(도 4a)와 상당히 더 근접해 있다. 가령, 에칭전 폭 크기(D3)가 대략 0.25 ㎛인 경우, 0.28 ㎛보다 크지 않은 에칭후 폭 크기가 얻어질 수 있다. 이것은 임계 크기 바이어스에 대해 대략 12%의 상한값을 생성한다. 따라서, 본 발명은 임계 크기 바이어스를 0.03 ㎛보다 적은 범위로 줄이기 위하여 사용될 수 있다. 대조적으로, C4F8 및 N2의 혼합물을 포함하는 다른 화학물질은 0.05 ㎛ 정도의 임계 크기 바이어스를 생성하는 것으로 보고되어 왔다. 당업자라면 알 수 있듯이, 일부 에칭 공정에 대해 본 발명의 개선된 기술을 사용하여, 심지어 적은 형상의 제작에 대해 임계 크기 바이어스를 실제적으로 제거할 수 있다.
도 5는 본 발명의 일 실시예에 따라 플라즈마 처리 챔버 내에서 증착된 층 물질을 에칭하기 위한 방법(500)을 도시하는 흐름도이다. 가령 LAM 5620 XLE 또는 LAM Exelan과 같은 중간 밀도의 플라즈마 반응기가 대략 0.25 ㎛ 이하의 형상을 에칭하기 위하여 방법(500)과 함께 사용될 수 있다.
방법(500)은 에칭을 위해 확인된 층을 구비하는 반도체 웨이퍼가 제공되는 작업(502)에서 시작된다. 바람직하게, 에칭을 위해 확인된 층은 대략 5,000Å 내지 대략 20,000Å 범위의 적합한 두께로 증착되는 유전체층이다. 가령, 상기 층은 도 4a의 층(410)으로 도시된 유전체층 가령 BPSG, PSG, SiO2 및 TEOS일 수 있다.
그후, 이 방법(500)은 에칭 위치를 한정하기 위하여 확인된 층위에 포토레지스트 마스크가 인가되는(도 4a 및 도4b에 도시된 바와 같이 이러한 포토레지스트 마스크는 층(412)으로 표시될 수 있음) 작업(504)으로 진행한다. 가령, 포토레지스트 마스크는 구멍, 콘택트, 자기-정합 콘택트, 또는 트렌치와 같은 형상이 에칭 작업 이후 형성될 위치를 한정하기 위하여 패터닝된다. 일단 포토레지스트 마스크가 인가되면, 방법(500)은 반도체 웨이퍼가 플라즈마 처리 챔버 내에 배치되는 작업(506)으로 진행한다.
일단 웨이퍼가 플라즈마 처리 챔버 내에 배치되면, 본 발명의 일 실시예에 따라 새로운 기체 화학물질이 플라즈마 처리 챔버 내로 인가되고, 적합한 온도와 압력으로 안정화된다. 이러한 특정 실시예에 따라, 상기 새로운 기체 화학물질은 주로 플라즈마 처리 챔버로 주입되는 C3F6 와 O2 기체의 혼합물로 이루어진다.
C3F6 기체는 5 내지 20 표준 cm3/min(sccm)의 범위인 유동 속도로 플라즈마 처리 챔버 내로 흘러 들어갈 수 있다. 가령, 중간 밀도 플라즈마 처리 챔버 내에서 150 mm 웨이퍼에 대한 바람직한 유동 속도는 대략 8 내지 18 sccm이다. O2 기체는 2 내지 10 sccm의 범위인 유동 속도로 플라즈마 처리 챔버 내로 흘러 들어갈 수 있다. 가령, 중간 밀도 플라즈마 처리 챔버 내에서 150 mm 웨이퍼에 대한 바람직한 유동 속도는 대략 4 내지 8 sccm이다.
명백하게도, 플루오르카본 가령 C2F6, C3F6 C4F8는 에칭 공정의 일부 불리한 부작용 예를 들어 마이크로 로딩에 대처하기 위하여 사용될 수 있다. 덧붙여, C3F6와 O2의 혼합물은 수많은 다른 장점을 제공할 수 있다. 해당 기술 분야에서는 알려진 바와 같이, O2는 글라스하게도 패시베이션을 감소시켜 측벽 제어를 강화시킨다. 더욱이 C3F6와 O2의 혼합물은 에칭된 형상의 임계 크기 바이어스를 효과적으로 감소시킨다.
C3F6와 O2에 추가하여, 아르곤(Ar) 역시 희석제로서 제공될 수 있다. Ar 역시 0 내지 600 sccm의 범위인 유동 속도로 플라즈마 처리 챔버 내에서 흐를 수 있다. Ar은 바람직한 범위가 60 내지 300 sccm인 유동 속도로 플라즈마 처리 챔버 내로 흘러 들어갈 수 있다. 하나의 특정 실시예에 따라, 150 mm 웨이퍼에 대해 중간 밀도 플라즈마 처리 챔버 내에서 Ar은 초기에 대략 250 sccm으로 흐른다. Ar 이외에도 다른 기체 가령 He, Ne 및 Kr이 희석제로서 사용될 수 있음을 주목해야 한다.
압력은 대략 15 mTorr와 100 mTorr 사이에 있을 때 안정된다. 온도는 대략 10℃ 내지 50℃로 유지된다. 150 mm 웨이퍼에 대해 사용된 하나의 특정 실시예에 있어서, 중간 매체 플라즈마 처리 챔버에서 압력은 대략 30 mTorr로 설정되는 것이 바람직하다. 상부 전극에 대한 온도는, 하부 전극에 대한 온도가 -2℃ 내지 10℃의 바람직한 범위에 있는 상태에서, 대략 30℃인 것이 바람직하다.
플라즈마 처리 챔버가 (작업(508)에 따라) 안정화된 후, 방법(500)은 작업(510)으로 진행하는데, 여기에서 플라즈마 처리 챔버의 전극에 전원이 투입되어 에칭 공정을 수행하기 위한 플라즈마가 점화된다. 하부 및 상부 전극에 제공되는 전체 전력은 일반적으로 1000 내지 4000 와트(W)이다. 150 mm 웨이퍼에 대해 사용되는 하나의 특정 실시예에 있어서, 중간 밀도의 플라즈마 처리 챔버에서 하부 및 상부 전극에 제공되는 전체 전력은 1500 내지 3600 와트(W)인 바람직한 범위 내에 놓인다.
그 후, 방법(500)은 작업(502)에서 확인된 층을 통해 에칭 공정이 수행되는 작업(512)으로 진행한다. 에칭은 본 발명의 새로운 화학물질을 사용하여 수행된다. 하나의 실시예에 따라, 새로운 기체 화학물질은 주로 플라즈마 처리 챔버로 주입되는 C3F6와 O2 기체의 혼합물로 이루어진다. 상술한 바와 같이, 가령 Ar과 같은 희석제 기체 역시 챔버 내에서 흐를 수 있다. 일단 에칭이 종료되면, 방법(500)은 종래 의 에칭후 처리가 수행되는 작업(514)으로 진행한다.
해당 기술 분야에서 잘 알려진 바와 같이, 에칭후 작업(512)은 처리 챔버 내에서 또는 외부에서 마스크를 스트리핑(stripping)하는 단계를 포함할 수 있다. 덧붙여, 웨이퍼는 척으로부터 분리되어, 처리 챔버로부터 추출된다. 가령, 척으로부터 웨이퍼의 방출은, 처리 챔버 내로의 희석제 기체 가령 Ar의 유동을 증가시키면서 척에 대한 정전기 전하를 낮춤으로써 달성된다.
에칭 방법(500)은 원하는 형상이 기판상에 에칭될 때까지 반복될 수 있다. 이러한 방식으로 다양한 디바이스가 제작될 수 있다. 가령, 완성된 웨이퍼는 각 다이로 절단되고, 이들 다이는 이후 집적회로 칩으로 제작될 수 있다. 그 후, 최종 칩은 전자 디바이스 가령 디지털 컴퓨터를 포함하는, 잘 알려진 상업용 또는 가정용 전자 디바이스 중 하나에 결합될 수 있다.
본 발명은 다수의 장점을 갖는다. 한 가지 장점은 에칭된 형상에 대한 임계 크기 바이어스가 상당히 감소된다는 것이다. 상술한 바와 같이, 본 발명의 새로운 기체 화학물질은 0.03 ㎛ 이하의 임계 크기 바이어스를 달성하는데 사용될 수 있다. 비교해 보면, C4F8 기체를 사용하는 화학물질을 포함하여 다른 화학물질은 0.05 ㎛ 정도의 임계 바이어스를 달성하는 것으로 보고되어 왔다. 따라서, 본 발명의 새로운 화학물질은 에칭 공정을 위한 상당한 개선을 제공할 수 있다. 이들 개선점은 제작을 위해 낮은 임계 크기 바이어스가 요건인 상황하에서 특히 필요하다.
C3F6 기체와 O2 기체의 새로운 혼합물은 플루오르카본 기체(C3F 6) 대 O2 기체의 상당히 높은 유동 속도 비율을 허용함으로써 에칭 공정의 보다 양호한 제어를 제공하는 것으로 생각된다. 가령, C4F8에 기초한 화학물질과 비교하면, 본 발명의 새로운 화학물질은 플루오르카본 기체(예, C2F6, C3F6 및 C4 F8) 대 O2 기체의 유동 속도 비율을 상당히 증가시킬 수 있다.
명백하게도, 플루오르 기체 대 O2 기체의 보다 높은 유동 속도 비율은 임계 크기 바이어스와 같은 불리한 부작용을 상당히 감소시킨다. 불행히도, C4F8을 포함하는 다른 화학물질에 대해, 플루오르카본 기체의 유동 속도 비율을 임계 크기 바이어스가 0.05 ㎛ 미만으로 효과적으로 감소되는 점까지 줄이는 것은 가능하지 않다. C4F8 대 O2기체의 유동 속도 비율을 증가시키는 것은 임계 크기 바이어스가 허용될 수 있는 값(즉, 0.05 ㎛ 미만)으로 감소될 수 있기 전에 에칭 공정에 불리한 효과를 초래한다. 이러한 불리한 부작용 중 하나는 "에치 스톱"("etch stop")으로 알려졌다. 가령 에치 스톱은 C4F8 대 O2기체의 유동 속도 비율이 1.83 : 1의 비율 이상으로 증가하였을 때 발생한다. 그러나 C3F6에 대해, 에치 스톱이 발생하기 전에 대략 2.83 : 1의 유동 속도 비율을 달성할 수 있다.
따라서, C4F8을 포함하는 다른 플루오르카본은, 에치 스톱 및/또는 다른 불리한 효과가 발생하기 전에 O2기체에 대한 플루오르카본 가령 C4F8의 유동 속도가 증가할 수 있는 상대적으로 작은 영역을 제공한다. 설명하면, 6 sccm의 O2 기체를 갖는 150 mm 웨이퍼에 대해, C4F8 기체의 유동에 대한 전형적인 범위는 대략 6 내지 10 sccm이다. 챔버 내에서 동일한 O2 기체 유동(6 sccm)을 갖는 150 mm 웨이퍼에 대해, 대략 12 내지 16 sccm의 C3F6 기체가 플라즈마 처리 챔버 내에서 흐를 수 있다.
C3F6 대 O2 에 대한 보다 더 높은 유동 속도 비율은 에칭 공정의 제어를 상당히 강화시킨다. 결과적으로, 임계 크기 바이어스는 감소될 수 있다. 해당 기술분야에서 종래의 가르침과는 대조적으로, C4F8 기체와 비교하여, C3F6 기체는 중합이 덜 이루어지는 것으로 생각된다. 따라서 명료하지 않은 방식이지만, C3F6은 C4F 8이 정상적으로 제공할 수 있는 것보다 자유 플루오르(F) 대 자유 탄소(C)의 보다 큰 비율을 실질적으로 제공하는 것 같다. 자유 탄소는 에칭 처리를 차단하는 것으로 생각되고, 이는 에치 스톱이 C4F8 기체의 상당히 낮은 유동 속도 비율에서 생성되게 한다.
도 6은 표(600)에서 플루오르카본 기체(C4F8 와 C3F6) 대 O 2 기체의 예시적인 유동속도 비율을 도시한다. C4F8 기체에 대해 도시된 유동 속도 비율은 본 발명의 몇 가지 실시예에 따라 달성될 수 있다. 당업자라면 이해할 수 있듯이, 표(600)에서 도시된 파라미터는, 중간 밀도의 플라즈마 처리 챔버 내에서 120 sccm의 Ar이 흐르는 상태로, 18 mTorr의 챔버 압력, 상부 및 하부 전극 전력에 대한 각각 700W 와 100W, 상부 전극에 대한 30℃의 온도에 대응한다.
가령, 행(602)은 C4F8 기체를 사용하는 에칭 공정에 대한 파라미터의 일부를 나타낸다. 행(602)에 나타난 바와 같이,하부 전극 온도가 10℃이고, 에칭 처리가 180초 동안 수행되는 상태에서, 산소 6 sccm에 대해 C4F8 기체 9.5 sccm이 흐른다. C4F8와 O2 공정에 대해, 1.58(C4F8) : 1(O2 )의 유동 속도 비율이 얻어진다.
비교해 보면, 에칭 처리에서 C3F6 기체가 사용될 때 상당히 더 높은 유동 속도 비율이 얻어질 수 있다. 가령, 행(604)에 나타난 바와 같이, 행(602)의 C4F8 공정과 동일한 파라미터(즉, O2 기체의 동일한 유동 속도, 동일한 하부 전극 온도 및 동일한 에칭 처리 시간)에 대해, C3F6 기체의 14 sccm이 처리 챔버 내에서 흐를 수 있다(9.5 sccm과 비교). 결과적으로, 2.33(C3F6) : 1(O2)의 유동 속도 비율이 얻어진다.
표(600)에 도시된 바와 같이, 2.33(C3F6) : 1(O2)보다 심지어 더 높은 유동 속도 비율이 얻어질 수 있다. 가령, 행(606 내지 610)은 각각 2.50, 2.67 과 2.83의 유동 속도 비율을 나타낸다. 행(606 내지 610)의 처리에 의해 나타낸 바와 같이, 하부 전극에 대한 온도는 심지어 더 높은 유동속도를 얻기 위하여 줄어들 수 있다. 행(606 내지 610)의 처리에 대한 하부 전극의 온도는 대략 -10℃이다. 그러나, 하부 전극에 대한 온도가 심지어 더 높은 유동 속도를 얻기 위하여 더 감소될 수 있음을 예상할 있다. 그러므로, 새로운 기체 화학물질은 임계 크기 바이어스의 실질적인 개선을 도출하는 더 높은 유동 속도를 허용한다.
본 발명의 다른 장점은 에칭 공정과 관련된 바람직하지 않은 다른 효과, 가령 "보우잉"이 효과적으로 감소될 수 있다는 점이다. 여기에서 사용되는 보우잉은 해당 기술 분야에서 잘 알려져 있고, 가령 똑바로 에칭되지 않는 트렌치와 같은 형상을 갖는 문제점을 일반적으로 언급한다(즉, 에칭된 형상의 측벽 중 하나 또는 둘 모두에서의 보우잉 효과가 존재한다). 보우잉은 원하는 에칭 형상의 사용 가능성을 심각하게 방해할 수 있고, 디바이스를 불량으로 만들 수 있다. 본 명세서의 초기에 언급한 바와 같이, 집적회로는 점점 더 적어지고 있고, 에러에 대한 허용오차는 점점 줄어들고 있다. 따라서, 임계 크기 바이어스와 보우잉과 같은 문제점은 점점 더 두드러지고 있다. 플루오르카본 기체(C3F6)의 유동 속도를 증가시키고, 및/또는 하부 전극을 낮춤으로써, 보우잉과 같은 바람직하지 못한 효과는 감소될 수 있다고 생각된다.
본 발명의 또 다른 장점은 임계 크기 바이어스를 줄임으로써 에칭된 형상에 대한 더 높은 종횡비가 얻어질 수 있다는 점이다. 종횡비는 형상의 깊이 대 형상의 폭의 비율로 정의될 수 있다. 가령, 대략 1.8 ㎛ 깊이 와 대략 0.24 ㎛ 폭의 형상에 대해, 종횡비는 7.5이다. 일반적으로, 종횡비가 더 커질수록, 원하는 규격에 따라 형상을 에칭하는 것은 더욱 힘들다. 가령, 7.5의 종횡비에 대한 종래의 방법으로는 0.05 ㎛ 이상의 임계 크기 바이어스가 예상될 수 있다. 본 발명의 특정 실시예에 따라, 7.5의 종횡비를 갖는 에칭 형상에 대해 0.03 ㎛ 이하의 임계 크기 바이어스를 얻을 수 있다.
당업자라면 알 수 있듯이, 본 발명은 콘택트, 개구 연결부, 비어(veers) 등 과 같은 에칭된 다양한 형상을 형성하기 위하여 사용될 수 있다. 더욱이, 당업자라면 이해할 수 있듯이, 본 발명은 또한 이중 물결무늬(dual damasceme) 기술과 같은 다른 다양한 기술과 함께 사용될 수 있다.
본 발명의 몇 안되는 실시예만이 상세하게 설명되었지만, 본 발명의 사상과 범주를 벗어남이 없이, 본 발명은 많은 다른 특정 형태로 구현될 수 있음을 이해해야 한다. 그러므로, 본 실시예는 제한적이 아닌 단지 예시적인 것으로 간주되어야 하고, 또한 본 발명은 본 명세서에서 주어진 상세 사항에 제한되지 않고, 단지 부가된 청구범위의 범주 내에서 변형될 수 있다.

Claims (32)

  1. 웨이퍼를 처리하기 위한 플라즈마 처리 챔버내에서 상기 웨이퍼 상에 증착된 물질의 유전체층을 에칭하는 방법으로서,
    본질적으로 C3F6 기체와 O2 기체로 구성되는 에칭액(etchant) 소스 기체를 상기 플라즈마 처리 챔버내로 흘려보내는 단계,
    상기 에칭액 소스 기체로부터 형성되는 플라즈마를 점화(strike)시키는 단계, 및
    상기 플라즈마를 사용하여 물질의 상기 유전체층의 일부분을 에칭함으로써 에칭 공정을 수행하는 단계를 포함하고,
    상기 에칭 공정은 상기 유전체 상에서 형상 (feature) 을 에칭하고, 상기 형상은 에칭전 및 에칭후의 그 크기 차이가 대략 0.05 ㎛ 보다 적은 방법.
  2. 제 1 항에 있어서,
    상기 유전체층은 산화막으로 이루어진 층인 방법.
  3. 제 2 항에 있어서,
    산화막으로 이루어진 상기 층은 보로포스포실리케이트 글라스 (borophosphosilicate glass; BPSG), 포스포실리케이트 글라스 (phosphosilicate glass; PSG), 실리콘 산화물 (SiO2) 및 TEOS 로 이루어지는 그룹으로부터 선택되는 방법.
  4. 제 2 항에 있어서,
    상기 C3F6 기체에 대한 유동속도는 5 내지 30 표준 cm3/min(sccm)의 범위 내에 있는 방법.
  5. 제 2 항에 있어서,
    상기 O2 기체에 대한 유동속도는 2 내지 10 표준 cm3/min(sccm)의 범위 내에 있는 방법.
  6. 제 2 항에 있어서,
    상기 플라즈마 처리 챔버는 하부 전극을 포함하고, 상기 하부 전극의 온도는 -10 ℃ 내지 15 ℃의 범위에 있는 방법.
  7. 제 2 항에 있어서,
    상기 C3F6 기체에 대한 유동속도는 8 내지 18 표준 cm3/min(sccm)의 범위 내에 있는 방법.
  8. 제 2 항에 있어서,
    상기 O2 기체에 대한 유동속도는 4 내지 8 표준 cm3/min(sccm)의 범위 내에 있는 방법.
  9. 제 2 항에 있어서,
    상기 플라즈마 처리 챔버는 하부 전극을 포함하고, 상기 하부 전극의 온도는 -2 ℃ 내지 10 ℃의 범위에 있는 방법.
  10. 제 2 항에 있어서,
    상기 C3F6 기체에 대한 유동속도는 대략 15 내지 16 표준 cm3/min(sccm)인 방법.
  11. 제 2 항에 있어서,
    상기 O2 기체에 대한 유동속도는 대략 6 표준 cm3/min(sccm)인 방법.
  12. 제 2 항에 있어서,
    상기 플라즈마 처리 챔버는 하부 전극을 포함하고, 상기 하부 전극의 온도는 대략 -2 ℃인 방법.
  13. 제 2 항에 있어서,
    상기 플라즈마 처리 챔버는 하부 전극을 포함하고, 상기 C3F6 기체는 15 내지 16 표준 cm3/min(sccm)의 유동속도로 흐르고, 상기 O2 기체는 대략 6 표준 cm3/min(sccm)의 유동속도로 흐르고, 상기 하부 전극에 대한 온도는 대략 -2 ℃인 방법.
  14. 제 13 항에 있어서,
    상기 산화물 층을 에칭하는 처리 시간은 대략 180초인 방법.
  15. 제 13 항에 있어서,
    상기 처리 챔버에 제공되는 전체 전력은 대략 1700 와트(W)인 방법.
  16. 제 13 항에 있어서,
    상기 방법은 상기 처리 챔버내로 아르곤 기체를 흘러 보내는 단계를 더 포함하고, 상기 아르곤 기체는 상기 처리 챔버내에서 60 내지 300 표준 cm3/min(sccm) 범위 내의 유동속도로 흐르는 방법.
  17. 제 2 항에 있어서,
    상기 처리 챔버에 제공되는 전체 전력은 1200 내지 3600 와트(W) 범위 내에 있는 방법.
  18. 제 2 항에 있어서,
    상기 산화물 층을 에칭하는 처리 시간은 100 내지 300초인 방법.
  19. 제 2 항에 있어서,
    상기 산화물 층을 에칭하는 처리 시간은 상기 산화물 층의 두께에 의존하는 방법.
  20. 제 2 항에 있어서,
    상기 방법은 아르곤 기체를 상기 처리 챔버 내로 흘러 보내는 단계를 더 포함하는 방법.
  21. 제 1 항에 있어서,
    상기 에칭은 콘택트를 형성하기 위해 이루어지는 방법.
  22. 제 1 항에 있어서,
    상기 에칭은 개구부 (vias) 를 형성하기 위해 이루어지는 방법.
  23. 제 1 항에 있어서,
    상기 에칭은 듀얼 다마신 (dual damascene) 공정 내에서 이루어지는 방법.
  24. 삭제
  25. 제 2 항에 있어서,
    상기 에칭 공정은 0.2 내지 0.3 ㎛ 정도의 상기 유전체 상에서 형상을 에칭하고, 상기 형상은 에칭전 및 에칭후의 그 크기 차이가 대략 0.03㎛보다 적은 방법.
  26. 제 23 항에 있어서,
    상기 임계 크기 바이어스 (bias) 는 대략 0.25 ㎛의 폭을 갖는 에칭에 대해 얻어지는 방법.
  27. 제 2 항에 있어서,
    C3F6 기체 대 O2 기체의 유동 비율은 2 내지 3 의 범위에 있는 방법.
  28. 제 2 항에 있어서,
    7.5의 종횡비를 갖는 형상에 대해, 0.03 ㎛보다 크지 않은 임계 크기 바이어스가 얻어지는 방법.
  29. 제 2 항에 있어서,
    상기 에칭 공정은 상기 유전체 상에서 대략 0.25 ㎛이하의 폭을 갖는 형상을 에칭하여, 상기 에칭된 형상에 대한 보우잉 (bowing) 효과가 감소되는 방법.
  30. 웨이퍼를 처리하기 위한 플라즈마 처리 챔버 내에서, 상기 웨이퍼 상에 증착되는 물질의 유전체층을 에칭하는 방법으로서,
    본질적으로 C3F6 기체와 O2 기체로 구성되는 에칭액 소스 기체를 상기 플라즈마 처리 챔버내로 흘려보내는 단계,
    상기 플라즈마 처리 챔버내로 희석 기체를 흘려보내는 단계,
    상기 에칭액 소스 기체로부터 형성되는 플라즈마를 점화 (strike) 시키는 단계, 및
    상기 플라즈마를 사용하여 물질의 상기 유전체층의 한 부분을 에칭함으로써 에칭 공정을 수행하는 단계를 포함하고,
    상기 에칭 공정은 상기 유전체 상에서 형상 (feature) 을 에칭하고, 상기 형상은 에칭전 및 에칭후의 그 크기 차이가 대략 0.05 ㎛ 보다 적은 방법.
  31. 제 30 항에 있어서,
    상기 희석 기체는 Ar, He, Ne 및 Kr로 이루어지는 그룹으로부터 선택되는 방법.
  32. 제 30 항에 있어서,
    상기 유전체층은 산화막으로 이루어진 층인 방법.
KR1020027003976A 1999-09-27 2000-09-26 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술 KR100743873B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/405,949 1999-09-27
US09/405,949 US6410451B2 (en) 1999-09-27 1999-09-27 Techniques for improving etching in a plasma processing chamber

Publications (2)

Publication Number Publication Date
KR20020041447A KR20020041447A (ko) 2002-06-01
KR100743873B1 true KR100743873B1 (ko) 2007-07-30

Family

ID=23605899

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027003976A KR100743873B1 (ko) 1999-09-27 2000-09-26 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술

Country Status (5)

Country Link
US (1) US6410451B2 (ko)
JP (1) JP2003510834A (ko)
KR (1) KR100743873B1 (ko)
AU (1) AU7718400A (ko)
WO (1) WO2001024230A2 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AR035660A1 (es) 2000-11-29 2004-06-23 Schering Corp Compuestos 3,10-dibromo-8-cloro-11h-benzo[5,6]ciclohepta[1,2-b]piridin-11-il-4-piperidinilos o sus sales farmaceuticamente aceptables, composiciones farmaceuticas que los comprenden, usos de los mismos para preparar medicamentos, y un procedimiento para producir un compuesto intermediario para produ
TW567554B (en) * 2001-08-08 2003-12-21 Lam Res Corp All dual damascene oxide etch process steps in one confined plasma chamber
US6846747B2 (en) 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
US7019844B2 (en) * 2002-08-13 2006-03-28 Lam Research Corporation Method for in-situ monitoring of patterned substrate processing using reflectometry.
US6979578B2 (en) * 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
US7399711B2 (en) * 2002-08-13 2008-07-15 Lam Research Corporation Method for controlling a recess etch process
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US20060021980A1 (en) * 2004-07-30 2006-02-02 Lee Sang H System and method for controlling a power distribution within a microwave cavity
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
US7189939B2 (en) * 2004-09-01 2007-03-13 Noritsu Koki Co., Ltd. Portable microwave plasma discharge unit
US7271363B2 (en) * 2004-09-01 2007-09-18 Noritsu Koki Co., Ltd. Portable microwave plasma systems including a supply line for gas and microwaves
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
US7867403B2 (en) * 2006-06-05 2011-01-11 Jason Plumhoff Temperature control method for photolithographic substrate
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US9793127B2 (en) * 2013-11-13 2017-10-17 Taiwan Semiconductor Manufacturing Company Limited Plasma generation and pulsed plasma etching

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR950020967A (ko) * 1993-12-22 1995-07-26 이노우에 아키라 플라즈마 처리장치 및 플라즈마 처리방법
JPH10199869A (ja) 1997-01-08 1998-07-31 Tokyo Electron Ltd ドライエッチング方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3223692B2 (ja) * 1994-03-17 2001-10-29 株式会社日立製作所 ドライエッチング方法
WO1997024750A1 (en) 1995-12-29 1997-07-10 Trikon Technologies, Inc. Method for etching silicon dioxide using unsaturated fluorocarbons
US6043164A (en) * 1996-06-10 2000-03-28 Sharp Laboratories Of America, Inc. Method for transferring a multi-level photoresist pattern
US5973799A (en) * 1997-07-30 1999-10-26 Cyberscan Technology, Inc. ID card image reader
US6183655B1 (en) 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR950020967A (ko) * 1993-12-22 1995-07-26 이노우에 아키라 플라즈마 처리장치 및 플라즈마 처리방법
JPH10199869A (ja) 1997-01-08 1998-07-31 Tokyo Electron Ltd ドライエッチング方法

Also Published As

Publication number Publication date
US6410451B2 (en) 2002-06-25
WO2001024230A3 (en) 2001-10-25
US20010044212A1 (en) 2001-11-22
WO2001024230A2 (en) 2001-04-05
KR20020041447A (ko) 2002-06-01
JP2003510834A (ja) 2003-03-18
AU7718400A (en) 2001-04-30

Similar Documents

Publication Publication Date Title
EP1042796B1 (en) Improved techniques for etching an oxide layer
EP1186014B1 (en) Techniques for etching a low capacitance dielectric layer
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
KR100491199B1 (ko) 반도체 웨이퍼 에칭에 의한 집적 회로
US5772906A (en) Mechanism for uniform etching by minimizing effects of etch rate loading
US5827437A (en) Multi-step metallization etch
US20040033684A1 (en) Methods for forming openings in doped silicon dioxide
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
KR20080046608A (ko) 다중-레이어 레지스트 플라즈마 에치 방법
KR20000057674A (ko) 포토레지스트 선택성을 향상시키고 식각율부하를 감소시키는방법
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
KR100595090B1 (ko) 포토레지스트 마스크를 사용한 개선된 엣칭방법
US6227211B1 (en) Uniformity improvement of high aspect ratio contact by stop layer
US6787475B2 (en) Flash step preparatory to dielectric etch
US5968278A (en) High aspect ratio contact
US6942816B2 (en) Methods of reducing photoresist distortion while etching in a plasma processing system
JPH10150019A (ja) フォトレジスト選択性を向上し重合体密着性を改善するためのプラズマ反応処理法
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
JPH0774147A (ja) ドライエッチング方法およびドライエッチング装置
US20030153193A1 (en) Etching method
JP3104298B2 (ja) ドライエッチング方法
JP2639402B2 (ja) 酸化物層のテーパーエッチング方法
JP2000208481A (ja) 積層異種絶縁膜の一括エッチング方法
JP2002367926A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130708

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140709

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150707

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160712

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170712

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee