JP2003510834A - プラズマ処理室におけるエッチングを改良するための技術 - Google Patents

プラズマ処理室におけるエッチングを改良するための技術

Info

Publication number
JP2003510834A
JP2003510834A JP2001527323A JP2001527323A JP2003510834A JP 2003510834 A JP2003510834 A JP 2003510834A JP 2001527323 A JP2001527323 A JP 2001527323A JP 2001527323 A JP2001527323 A JP 2001527323A JP 2003510834 A JP2003510834 A JP 2003510834A
Authority
JP
Japan
Prior art keywords
etching
gas
processing chamber
layer
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001527323A
Other languages
English (en)
Inventor
グエン・トーマス・ディ.
ミューラー・ジョージ
マクグラス・ピーター
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2003510834A publication Critical patent/JP2003510834A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【解決手段】 プラズマ処理システムにおける化学的に補助されたエッチング処理のための改良方法と装置が開示されている。本発明の一態様によれば、プラズマ処理におけるエッチング処理を行うのに適した改良技術が実現され得る。本発明はエッチング処理に関連する微小寸法バイアスを低減するよう作用する。より低い微小寸法バイアスは多くの利点をもたらす。かかる利点の1つは、高アスペクト比を持つ特徴部が正しくエッチングされるということである。加えて、いくつかの他の望ましくない影響、例えば、マイクロローディング、ボーイング及びパシベーションが本発明の技術を用いることにより低減する。

Description

【発明の詳細な説明】
【0001】
【発明の背景】
1.発明の分野 本発明は、半導体集積回路の製造に関し、特に、プラズマ処理システムにおけ
る化学的に補助されたエッチング処理のための改良方法に関する。
【0002】 2.関連技術の説明 半導体を基礎とするデバイス、例えば、集積回路あるいはフラットパネルディ
スプレイの製造においては、材料層が基板表面上に交互に堆積され、基板表面か
らエッチングされる。製造工程中、様々な材料層、例えば、ボロホスホシリケー
トガラス(BPSG)、ポリシリコン、金属等が基板上に堆積されフォトレジス
ト工程によりパターン形成される。その後、層の各部分がエッチングされて様々
な特徴部、例えば、相互接続線、バイア接続部、トレンチ等を形成する。
【0003】 エッチング処理は、プラズマエンハンストエッチングを含む種々の公知技術に
より行なわれる。プラズマエンハンストエッチングにおいては、実際のエッチン
グは通常プラズマ処理システムのプラズマ処理室の内部で行われる。所望のパタ
ーンを基板表面上に形成するため、通常は適当なマスク(例えば、フォトレジス
トマスク)が設けられる。そこでプラズマ処理室内のこの基板に対して、適切な
エッチング用原料ガス、すなわちガス混合物からプラズマが作られる。プラズマ
はマスクにより保護されずに残っている領域をエッチングするために用いられ、
それにより所望のパターンを形成する。このようにして、層の各部分がエッチン
グされ、相互接続線、バイア接続部、トレンチその他の特徴部を形成する。堆積
及びエッチング処理は、所望の回路が得られるまで反復される。
【0004】 最新の集積回路の製造は、エッチング処理に対する制御を向上させるための新
しい技術を発見するよう当業者に絶えず要求している。一例を挙げれば、最新の
集積回路の特徴部は小型化されてきたので、従来のエッチング技術を用いて所望
の特徴部をエッチングすることがますます困難になってきた。詳述すれば、特徴
部がますます小さくなったので、エッチング処理についてエッチング速度の均一
化を達成することがなお一層難しくなったのである。例えば、特徴部が比較的密
になっている部分と特徴部が比較的広く離間している部分に対して、同じエッチ
ング速度を達成することがますます困難になってきている。この問題は、マイク
ロローディング効果と呼ばれている。
【0005】 最新の集積回路のますます小さくなる特徴部のエッチングに関連する種々の問
題を克服するために、より新しい技術によってエッチング用原料ガスに対する代
替的な化学的構成が提案された。一例を挙げれば、ある最近の研究開発により、
マイクロローディング効果を効果的に低減することに加えて、選択性を高め得る
革新的な化学的構成が発見された。例えば、そのような革新的な化学的構成の1
つは、1997年8月28日に提出された米国特許出願第08/919,659
号に記載されたC48、N2とArとの組み合わせである。
【0006】 C48、N2とArとの組み合わせのような化学的構成は、マイクロローディ
ングに対しては効果的で且つかなり選択性を高めるものではあるが、残念なこと
にこれらの化学的構成は、エッチングされた特徴部の微小寸法が重要な問題とな
る状況、例えば、比較的小さな特徴部がエッチングされなければならず、且つ誤
差の許容範囲が比較的小さいような状況には適さない。詳述すれば、あるエッチ
ング処理においては、1つの特徴部の所望の(すなわちエッチング前)微小寸法
とエッチング後の微小寸法との間に差異が存在する。この差異を、微小寸法バイ
アスと呼ぶこともある。本明細書では、微小寸法バイアスとは、特徴部の所望の
(すなわちエッチング前)寸法とそれに対応するエッチング後の寸法との間の差
異を指す。通常、エッチング処理は特徴部の微小寸法を拡大することになる。
【0007】 微小寸法バイアスの議論を容易にするために、図1及び図2はそれぞれエッチ
ング前のウエハ100の断面図とエッチング後のウエハ200の断面図を示して
いる。ウエハ100は基板表面104、例えば、シリコンの上に配置された堆積
層102を有するものとして示されている。堆積層102は酸化層106、ポリ
シリコン層108及び絶縁層110を含んでいる。酸化層106は通常はSiO 2 から成っており、シリコン基板104上に配置される。酸化層106の上には
、ポリシリコン層108が配置される。絶縁層110、例えば、BPSG、PS
G、SiO2、またはTEOS層110はポリシリコン層108の上に配置され
る。
【0008】 間隔D1(マスクされた部分112aと112bの間)は、オープン領域11
8を通じ層110を通してエッチングされるべき特徴部の所望の(すなわちエッ
チング前)幅寸法を表している。一例を挙げれば、比較的小さい特徴部に対して
は、間隔D1は約0.25μmでよい。
【0009】 図2に示されているように、エッチング処理の後は、領域120が形成される
。領域120は層110と層108の一部を通して延びている。間隔(ギャップ
)D2は領域120においてエッチングされた特徴部のエッチング後の幅寸法を
表している。間隔D2は間隔D1よりかなり大きい。例えば、間隔D2が間隔D
1よりも0.05μm大きいことは、しばしば見うけられる。しかしながら、0
.25μmというような小さな特徴部にとっては、0.05μmの変動は約20
%の増大を意味する。
【0010】 当業者には理解されるように、間隔D1とD2の間のそのような著しい差異(
微小寸法バイアス)は、極めて好ましくない。その微小寸法バイアスは製造され
た集積回路に多数の問題を引き起こす。一例を挙げれば、大きくエッチングされ
た特徴部が別のエッチングされた特徴部に重なることがあり得る。重なり合うエ
ッチングされた特徴部は集積回路を欠陥品にしてしまう。
【0011】 さらに、最新の集積回路の小型化に伴って、エッチングされた特徴部、例えば
、相互接続線、バイア接続部、トレンチ及びその他の特徴部がますます小さくな
ってきている。換言すれば、最新の集積回路においては、エッチングされた特徴
部に対する誤差(すなわち仕様により要求されたような要求寸法からの偏差)の
許容範囲はますます小さくなった。このように、誤差の許容範囲もまたますます
小さくなってきている。それゆえに、微小寸法バイアスが重要な問題となってき
た。
【0012】 前述の観点から、微小寸法バイアスを効果的に低減するよう改良されたエッチ
ング技術が必要とされている。
【0013】
【発明の概要】
広く言えば、本発明はプラズマ処理システムにおける化学的に補助されたエッ
チング処理のための改良方法に関する。本発明のいくつかの実施形態の概要を以
下説明する。
【0014】 一実施形態においては、本願の改良方法と共に用いるのに適したプラズマ処理
室を含むプラズマ処理システムが説明される。開示されたプラズマ処理システム
は、ウエハ基板上に配置されている選択された材料層に対しエッチング処理を行
うために利用可能である。選択された材料層は、公知の堆積方法を用いて堆積さ
れる。
【0015】 別の実施形態においては、堆積された絶縁層の選択された部分を通してエッチ
ングする方法が説明される。絶縁層は、通常の堆積方法によりウエハ基板上に堆
積される。堆積された絶縁層の選択された部分を通してエッチングする方法は、
プラズマ処理室内で行われる。エッチングのために特定された堆積層を有するウ
エハ基板はプラズマ処理システム内に置かれる。主にC36とO2ガスの組み合
わせからなるエッチング用原料ガスがプラズマ処理室に導入される。プラズマ処
理室内のエッチング用原料ガス、温度及び圧力が安定化される。そこで本発明の
この実施形態に従って、プラズマ処理室内の電極が起動されエッチング処理を行
うためにプラズマが点火される。
【0016】 本発明は、非常に多くの利点を有している。1つの利点は、エッチング処理に
関連する微小寸法バイアスが効果的に低減されることである。もう1つの利点は
、エッチング処理のその他の望ましくない副次的作用、例えば、ボーイング、マ
イクロローディング、パシベーション等を縮小できるということである。さらに
もう1つの利点は、高アスペクト比を有する特徴部が、本発明の改良技術に従っ
て、エッチングされ得るということである。
【0017】 本発明のその他の態様と利点は、本発明の原理を例示する添付図面を参照しつ
つ以下の詳細な説明から容易に明らかになろう。
【0018】 本発明は、同一参照符号が同一構成要素を示す添付図面を参照しつつ以下の詳
細な説明から容易に理解されよう。
【0019】
【発明の実施の形態】
本発明はプラズマ処理システムにおける化学的に補助されたエッチング処理の
改良方法と装置に関する。1つの態様に従うと、本発明の独創的な化学的構成は
、プラズマ処理システムにおける化学的に補助されたエッチング処理に対する処
理制御を向上させるために利用できる。特に、本発明はプラズマ処理システムに
よりエッチングされる特徴部の微小寸法バイアスを著しく低減するよう作用をす
る。加えて、本発明はエッチング処理に関連するいくつかの望ましくない影響を
なくすために用いることができる。一実施例においては、本発明の独創的なガス
化学的構成は主としてC36とO2ガスの混合物より成る。
【0020】 本発明のこの態様の実施例は図3ないし図6を参照して以下説明する。しかし
ながら、本発明はこれらの限られた実施例を越える広がりをもっているので、当
業者は、これらの図に関して、ここで与えられる詳細な説明が説明目的のためで
あることを容易に理解するであろう。
【0021】 先に言及したように、本発明の独創的な化学的構成はプラズマ処理システムに
おける化学的に補助されたエッチング処理に対する制御を向上させるために利用
できる。理解を容易にするために、図3は本発明の一実施例に従うプラズマ処理
システム300を示している。
【0022】 プラズマ処理システム300は下部電極302と上部電極304を含む処理室
301を含んでいる。ウエハ306は、下部電極302(例えば、チャック)の
上に置かれる。上部電極304もまた、処理室301に投入ガス化学的構成31
0を供給することができる。上部電極304は、上部電極304の下端を囲む石
英コンファインメントリング308を含むこともある。
【0023】 ウエハ306の表面は、投入ガス化学的構成310としてウエハ処理室301
に放出される適切なエッチング用原料ガスによりエッチングされる。独創的なエ
ッチング用原料ガスが本発明の1つの態様に従って、特に、エッチング処理に関
連した微小寸法バイアスを著しく低減するために与えられる。一実施例において
、本発明の独創的なガス化学的構成は、主にC36とO2ガスの混合物から成る
。本発明の独創的な化学的構成は、明らかになるであろう多くの追加的利益をも
たらすことができる。
【0024】 上述したように、エッチング用原料ガスは上部電極304を通じて放出される
。注目されるべきは、エッチング用ガスが、ウエハ処理室301の内部に配置さ
れたガスリングを通して、あるいはウエハ処理室301の壁面に埋め込まれたポ
ートを通してというように、他のメカニズムにより放出されることもあるという
ことである。
【0025】 図3に示されているように、処理室301は、第1の無線周波数(RF)源3
14aがRFマッチングネットワーク312aを通じて上部電極304に接続さ
れる二重周波数パラレルプレート処理構成を用いることができる。同様の方法で
、下部電極302は、第2のRFマッチングネットワーク312bを通じて第2
のRF源314bに接続されている。それぞれのRF源314aと314bは、
一端が地面316に接続される。稼動中は、処理室301は開口部118を通じ
て処理ガスを排出する。
【0026】 当業者には知られているように、エッチング処理の場合においては、高い許容
度のエッチング結果を維持するために、プラズマ処理室301内の多くのパラメ
ータは厳格に制御される。エッチング結果を支配する処理パラメータには、ガス
成分、プラズマ励起、ウエハ306へのプラズマ配分その他が含まれる。エッチ
ングの許容度(及びその結果として、半導体ベースのデバイスの性能)はそのよ
うな処理パラメータに非常に敏感であるから、それらについての正確な制御が要
求される。
【0027】 一実施例において、ウエハ306は、処理室301内で行われるエッチングを
含めて、多数の半導体ダイスの製造を可能にする多くの処理操作を受ける。半導
体ダイスの方は多数の製品、例えば、パッケージ化された集積回路、を製造する
ためにパッケージされる。
【0028】 一例を挙げれば、処理室301はカリフォルニア州フレモントのラムリサーチ
コーポレーション Lam Research Corporationから入
手可能なLam Research Rainbow4520XLE処理室でよ
い。あるいは、処理室301は同様にカリフォルニア州フレモントのラムリサー
チコーポレーション Lam Research Corporationから
入手可能なLam Research Exelan処理室であってもよい。い
くつかの二重周波数処理室、例えば、Lam Research Exelan
処理室においてはRF源314aと314bの両方が低部電極302に接続さ
れていることに留意されたい。もちろん、その他の適切に調整された処理室を、
本発明に従って、改良されたエッチングの結果を達成するために用いることがで
きる。
【0029】 さらに、当業者には理解されるように、本発明は多くのその他の適切に調整さ
れた処理室において実施されるであろう。例えば、本発明は、容量的に接続され
たパラレル電極プレートを通じて、電子サイクロトロン共鳴(ECR)マイクロ
波プラズマ源を通じて、ヘリコン、ヘリカルレゾネータ及びトランスフォーマカ
ップルドプラズマ(TCP)のような誘導的に接続されたRF源を通じて、エネ
ルギをプラズマに運ぶ処理室に応用できる。ECR及びTCPプラズマ処理シス
テムもまた、数ある中で、カリフォルニア州フレモントのラムリサーチコーポレ
ーションLam Research Corporationから入手できる。
適当な処理室のその他の例には、インダクティブプラズマソース(IPS)、デ
カップルドプラズマソース(DPS)及びダイポールリングマグネット(DRM
)が含まれる。IPSとDPSプラズマ処理システムはカルフォルニア州サンタ
クララのアプライドマテリアル社Applied Materialsから入手
できる。DRM源のプラズマ処理室は日本の東京エレクトロン株式会社から入手
できる。
【0030】 発明の背景の項で言及したように、半導体製造においては、特徴部が半導体ウ
エハあるいは基板に形成される。より詳しく言えば、様々な材料の連続した層が
半導体ウエハあるいは基板上に堆積される。その後に、選択された堆積層の部分
が相互接続線、トレンチ及びその他の特徴部を形成するためにエッチングされる
【0031】 本発明の議論をさらに容易にするために、図4は、プラズマ処理室、例えば、
図3のプラズマ処理室300における処理に適したウエハ400の例示的断面を
示している。ウエハ400は、基板404の表面上に配置された例示的な積層4
02を有するものとして示されている。一例を挙げれば、積層402は酸化層4
06、ポリシリコン層408、及び絶縁層410を含むことができる。酸化層4
06は、通常はSiO2から成っており、基板404の上に配置される。ポリシ
リコン層408は酸化層406の上に配置される。最後に、絶縁層、例えば、B
PSG,SiO2がポリシリコン層408の上に置かれる。
【0032】 積層402で示された各層の上、下、あるいは間にその他の追加的な層が存在
することもあり得ることに留意されたい。さらに、示された層の全てが必ずしも
存在する必要はなく、及び/または、いくつかのあるいは全ての層は他の層に取
って代わられることもある。積層402の例示的層は、当業者には容易に認識さ
れ、化学蒸着法(CVD)、プラズマエンハンスト化学蒸着法(PECVD)、
及び物理蒸着法(PVD)を含む多数の適切且つ公知の堆積法のいずれかを用い
て形成され得る。
【0033】 様々な特徴部、例えば、相互接続線、バイア接続部、トレンチ、及び、集積回
路で要求されるその他の構成領域を形成するために、例えば、絶縁層410を含
む積層402の特定の層の部分が、適切なエッチング用化学物質を用いてエッチ
ングされる。
【0034】 エッチングに先だって、ウエハ400は、一般に、適当なフォトレジスト技術
を使って用意される。一例を挙げれば、そのようなフォトレジスト技術の1つは
、フォトレジスト材をコンタクトあるいはステッパリソグラフィシステムで露光
することによるフォトレジスト層412のパターニング、及び、それ続くエッチ
ングを容易にするためにマスクを形成するためのフォトレジスト材の現像を伴っ
ている。図4Aは、下にある領域を保護するためのフォトレジストパターニング
工程の後に残されたフォトレジスト層412を表す、マスクされたフォトレジス
ト領域412aと412bを示している。領域418はエッチングされていない
特徴部を表しており、下にあるターゲット層、例えば、絶縁層410の部分は、
その特徴部を通してエッチングのために露光される。
【0035】 領域418は、領域418で絶縁層410を通すようにエッチングされるべき
特徴部の望ましい幅寸法(すなわちエッチング前の幅寸法)を表す寸法D3(マ
スクされた領域412aと412bの間)を有している。一例を挙げれば、比較
的小さい特徴部に対しては、寸法D3は約0.25μmであり得る。
【0036】 一旦エッチングのために適切にマスクされると、ウエハ400は、その後プラ
ズマ処理室300のようなプラズマ処理室内に放出される適切なエッチング液を
用いてエッチングされる。エッチングの間中、エッチング液にさらされているタ
ーゲット層(各層)の領域、例えば、領域418の層410の部分がエッチング
される。保護的なフォトレジストマスク領域、例えば、412aと412bの下
にある領域は、エッチングされない。このような方法で、特徴部をウエハ400
上に形成することができる。
【0037】 図4Bで示しているように、エッチング処理が行われた後に、本発明の一実施
例に従って、領域420がエッチングされる。領域420は層410と層408
を通して延びている。寸法(ギャップ)D4は領域420でエッチングされた特
徴部のエッチング後の幅寸法を表している。
【0038】 通常のエッチング処理と比較して、エッチング後の幅寸法D4(図4B)は望
ましい(すなわちエッチング前)幅寸法D3(図4A)に著しく近くなっている
。一例を挙げれば、エッチング前の幅寸法D3が約0.25μmの場合、0.2
8μmより大きくないエッチング後の幅寸法が達成される。これは微小寸法バイ
アスについて約12%の上限を生じている。このように、本発明は微小寸法バイ
アスを0.03μmより低い範囲に低減するために用いることができる。対照的
に、C4F8とN2を含むその他の化学的構成では、微小寸法バイアスを0.0
5μm程度生じたと報告されている。当業者には理解されようが、いくつかのエ
ッチング処理に対して、本発明の改良技術を用いることは、小さな特徴部の製造
にもかかわらず、微小寸法バイアスを実質的に排除することを可能にする。
【0039】 図5は、本発明の一実施例に従って、堆積層材をプラズマ処理室内でエッチン
グする方法500を示すフロチャートである。一例を挙げれば、LAM4520
XLEあるいはLAM Exelanのような中密度のプラズマリアクタが、約
4分の1ミクロン(0.25μm)あるいはそれよりも小さい特徴部をエッチン
グするために、方法500に関連して用いられる。
【0040】 方法500は、エッチングのために特定された層をもつ半導体ウエハが用意さ
れる工程502で始まる。エッチングのために特定された層は約5,000オン
グストロームから約20,000オングストロームの範囲の適切な厚さに堆積さ
れた絶縁層であることが望ましい。一例を挙げれば、その層は図4Aの層410
、例えば、BPSG、PSG、SiO2及びTEOSにより示されたような絶縁
層であり得る。
【0041】 次に、方法500は、エッチングの位置を指定するために、特定された層にフ
ォトレジストマスクを塗布する工程504を続行する(図4に示されるように、
このフォトレジストマスクは層412で表される)。一例を挙げれば、フォトレ
ジストマスクは、ホール、コンタクト、セルフアラインドコンタクトあるいはト
レンチのような特徴部がエッチング処理の後に形成されるであろう位置を指定す
るためにパターン化される。フォトレジストマスクが塗布されると、すぐに、方
法500は、半導体ウエハがプラズマ処理室内に配置される工程506を続行す
る。
【0042】 ウエハがプラズマ処理室内部に配置されると、本発明の一実施例に従って、独
創的なガス化学的構成がプラズマ処理室内部に加えられ、適切な温度と圧力で安
定化するよう、そのままにされる。この特定の実施例に従って、独創的なガス化
学的構成は、主に、プラズマ処理室に導入されるC36とO2ガスの混合物から
成っている。
【0043】 C36ガスは、毎分5ないし20標準立方センチメートル(sccm)の範囲
内にある流量で、プラズマ処理室に流入することができる。一例を挙げれば、中
密度のプラズマ処理室における150mmウエハに対する好ましい流量は約8な
いし18sscmである。O2ガスは2ないし10sscmの範囲内にある流量
で、プラズマ処理室に流入され得る。一例を挙げれば、中密度のプラズマ処理室
における150mmウエハに対する好ましい流量は約4ないし8sscmである
【0044】 明らかになったように、炭化フッ素、例えば、C26、C36及びC48はエ
ッチング処理の不都合な副次作用、例えば、マイクロローディングをなくすため
に用いることができる。加えて、C36とO2の組み合わせは、その他の多くの
利点をもたらすことができる。当該技術分野で公知なように、O2は有利にパシ
ベーションを低減して、サイドウォールに対する制御を向上させることができる
。さらに、C36とO2は、エッチングされた特徴部の微小寸法バイアスを効果
的に低減することができる。
【0045】 C36とO2に加えて、アルゴン(Ar)をディリュタントとして与えてもよ
い。Arは、約0ないし600sscmの間の流量で処理室に流入することもで
きる。150mmウエハに対して用いられる1つの特定の実施例において、中密
度プラズマ処理室の中で、Arガスは、最初は約250sscmで流される。注
目すべきは、Arの他にディリュタントとして、例えば、He、Ne、及びKr
が用いられ得るということである。
【0046】 圧力は約15mTorrないし100mTorrの間にあるときに安定する。
温度は、摂氏約10ないし50度で維持される。150mmウエハのために用い
られる1つの特定の実施例において、中密度のプラズマ処理室内では、圧力が約
30mTorrで固定されることが好ましい。上部電極の温度は、摂氏−2ない
し10度の間の好ましい範囲内にある下部電極の温度に対して、摂氏約30度で
あることが好ましい。
【0047】 プラズマ処理室が安定化された(工程508に従って)後、方法500は、プ
ラズマ処理室の電極が起動されて、プラズマがエッチング処理を行うために点火
される工程510を続行する。下部及び上部電極に供給される総電力は、一般的
には1000ないし4000ワット(W)である。150mmウエハのために用
いられる1つの特定の実施例において、中密度のプラズマ処理室内では、下部及
び上部電極に供給される総電力は、1500ないし3600ワット(W)の間の
好適な範囲にある。
【0048】 次に、方法500は、工程502において特定された層を通してエッチング処
理が行われる工程512を続行する。エッチングは、本発明の独創的な化学的構
成を用いて行われる。一実施例に従って、独創的なガス化学的構成は、主に、プ
ラズマ処理室に導入されたC36とO2ガスの混合物から成る。上述したように
、デリュタントガス、例えば、Arもまたその室内に流入することができる。エ
ッチングが完了すると、方法500は、通常のエッチング後処理が行われる工程
514を続行する。
【0049】 当該技術分野で周知なように、エッチング後工程512は、処理室内で外部的
または内部的のいずれかによりマスクを除去する工程を含んでいる。加えて、ウ
エハがチャックから外され、処理室から取り除かれる。一例を挙げれば、チャッ
クからのウエハの取り外しは、処理室への希釈ガス、例えば、Arの流入が増大
している間に、チャックに対する静電チャージを下げることにより成し遂げられ
る。
【0050】 エッチング法500は、望ましい特徴部が基板上にエッチングされるまで繰り
返すことができる。この方法で、多様なデバイスを製造することができる。一例
を挙げれば、仕上がったウエハはダイスにカットされ、今度はそれらが集積回路
チップに作られることになる。結果としてのチップは、今度は電子デバイス、例
えば、デジタルコンピュータを含む企業向けあるいは消費者向けの電子デバイス
に組み込まれる。
【0051】 本発明は多数の利点を有している。利点の1つは,エッチングされた特徴部に
対する微小寸法バイアスが著しく低減されるということである。上述したように
、本発明の独創的なガス化学的構成は、0.03μm以下の微小寸法バイアスを
達成するために用いることができる。比較すると、他のC48ガスを用いたもの
を含む化学的構成は、0.05μm程度の微小バイアスを達成したと報告されて
いる。このように、本発明の独創的な化学的構成は、エッチング処理に著しい改
善をもたらす。これらの改善は、低い微小寸法バイアスが製造のために要求され
る状況で特に必要とされる。
【0052】 C36とO2ガスの独創的な組み合わせは、炭化フッ素ガス、すなわちC36
のO2に対する著しく高い流量比を与えることにより、エッチング処理のよりよ
い制御を実現すると考えられる。一例を挙げれば、C48ベースの化学的構成と
比較して、本発明の独創的な化学的構成は、炭化フッ素ガス(例えば、C26
36及びC48)のO2ガスに対する流量比を著しく増大することができる。
【0053】 明らかになったように、炭化フッ素ガスのO2に対するより高い流量比は、微
小寸法バイアスのような不利な副次作用を低減する。残念ながら、C48を含む
他の化学的構成について、微小寸法バイアスが効果的に0.05μm未満に低減
される点にまで炭化フッ素ガスの流量比を増加することは不可能である。C48 ガスのO2ガスに対する流量比を増加させることが、微小寸法バイアスが受け入
れられる値(すなわち0.05μmよりも低い)まで低減され得る前に、エッチ
ング処理に不利な作用を引き起こしてしまう。そのような不利な副次作用の1つ
は「エッチストップ」として知られている。一例を挙げれば、エッチストップは
48の流量比が1.83:1の比を超えて増加したときに現れる。しかし、C 36により、エッチストップが現れる前に約2.83:1の流量比を達成するこ
とが可能である。 このように、C48を含む他の炭化フッ素は、エッチストップ、及び/または
、その他の不利な作用が生じてしまうまでに、流量比、例えば、C48ガスのO
2ガスに対する流量比を増大できる窓が比較的小さいのである。例をあげると、
約6sccmのO2ガスを伴う150mmウエハに対し、C48ガスの流量の一
般的な範囲は、約6ないし10sccmである。対照的に、その室内において同
じ流量(6sccm)を伴う同様の150mmウエハに対し、約12ないし16
sccmのC36ガスをそのプラズマ処理室に流入することができる。C36
2に対するより高い流量比は、エッチング処理の制御を著しく向上させる。結
果として微小寸法バイアスが低減される。当該技術分野における伝統的な教義に
反して、C48ガスに比較して、C36ガスはより少なく重合されると考えられ
る。このように、自明ではない方法で、C36は実際上は自由炭素(C)に対し
、C48が通常与えることができるよりもより大きな比率の自由フッ素(F)を
与えることになる。自由炭素は、エッチング処理を阻止すると考えられ、C48 ガスの著しく低い流量比で現れるエッチストップを引き起こす。
【0054】 図6は、表600において、O2ガスに対する炭化フッ素ガスC48とC36
のいくつかの例示的な流量比を示している。C48ガスに対して示された流量比
は、本発明のいくつかの実施例に従って達成される。当業者により理解されるよ
うに、表600で示されたパラメータは、中密度のプラズマ処理システムにおい
て、Ar流量として120sccm、上部電極に対する温度として摂氏30度で
、室内圧力として18mT、上部及び下部電極の電力についてそれぞれ700W
と100Wに対応している。
【0055】 一例を挙げれば、列602はC48ガスを用いるエッチング処理のためのいく
つかのパラメータを示している。列602に示されているように、低部電極の温
度を摂氏10度とし、エッチング処理が180秒間行われるようにすると、6s
ccmの酸素に対して9.5sccmのC48ガスが流される。C48とO2
処理ガスの場合、流量比は1.58(C48):1(O2)になる。
【0056】 比較すると、エッチング処理でC36が用いられるとき、著しく高い流量比が
得られる。一例を挙げれば、列604により示されるように、列602のC48 の処理と同様のパラメータ(すなわちO2ガスの同じ流量、同じ低部電極の温度
及び同じエッチング時間)に対して、処理室に(9.5sccmに対して)14
sccmのC36が流入され得る。結果として、流量比は2.33(C36):
1(O2)となる。
【0057】 表600で示されるように、2.33(C36):1(O2)よりもさらに高
い流量比を得ることが可能である。一例を挙げれば、列606ないし610が、
それぞれ流量比2.50、2.67及び2.83を示している。列606ないし
610の処理により証明されるように、低部電極の温度をさらに下げて、より高
い流量を得ることができる。列606ないし610の処理のための低部電極の温
度は約−10℃である。しかしながら、低部電極の温度をさらに下げてなお一層
高い流量を得られることが予想される。それゆえ、独創的なガス化学的構成は、
微小寸法バイアスの実質的な改善を生じさせるより高い流量を可能とする。
【0058】 本発明のもう1つの利点は、エッチング処理に関連するその他の望ましくない
影響、例えば、「ボーイング」を、効果的に低減し得ることである。ボーイング
は、当該技術分野ではよく知られており、ここで用いられる通り、一般的には、
例えば、真下に向かってエッチングされていない(すなわち、エッチングされた
特徴部の1つまたは両方のサイドウォールにボーイングの影響がある)トレンチ
のような特徴部を有する問題のことを言っている。ボーイングは、望ましくエッ
チングされた特徴部の使用可能性をひどく妨げ、デバイスを欠陥のあるものにし
てしまう。前述したように、集積回路はますます小さくなってきており、誤差に
対する許容度はますます低くなっている。このようにして、微小寸法バイアスや
ボーイングの問題がますます目立つようになってきた。炭化フッ素ガス(C36 )の流量を増加し、及び/または、低部電極の温度を下げることにより、ボーイ
ングのような望ましくない影響を低減し得ると考えられる。
【0059】 本発明のさらにもう1つの利点は、微小寸法バイアスを低減することにより、
エッチングされた特徴部のより高いアスペクト比が得られることである。アスペ
クト比は、特徴部の深さの幅に対する比率と定義でき得る。一例を挙げれば、深
さ約1.8μm、幅約0.24μmの特徴部のアスペクト比は約7.5である。
一般的に、アスペクト比が大きくなると、望ましい仕様に従って特徴部をエッチ
ングすることがますます難しくなる。一例を挙げれば、7.5のアスペクト比に
対して通常の方法を用いると、0.05μmまたはそれより高い微小寸法バイア
スが予想される。本発明の特定の実施例に従えば、7.5のアスペクト比を持つ
エッチングされた特徴部に対し、0.03μmあるいはより低い微小寸法バイア
スを達成することが可能である。
【0060】 当業者には理解されようが、本発明は、コンタクト、バイアインタ接続部、ヴ
ィア等の様々なエッチングされた特徴部を形成するために用いることができる。
さらに、当業者には理解されようが、本技術はまたデュアルダマシーン技術のよ
うな他の技術と共に利用され得るものである。
【0061】 本発明の2,3の実施例のみを詳細に説明してきたが、本発明が、本発明の趣
旨あるいは範囲から逸脱することなく多くの他の特定の形態で具体化され得るこ
とは理解されたい。従って、本例は、例示的なものであって限定的なものではな
く、且つ本発明はここで与えられた詳細に限定されるものではなく、上記特許請
求の範囲内で変形可能である。
【図面の簡単な説明】
【図1】 プラズマ処理室内で処理されるエッチング前のウエハの断面図である。
【図2】 プラズマ処理室内で処理された後のエッチング後のウエハの断面図である。
【図3】 本発明の一実施例に従うプラズマ処理システムを示す図である。
【図4A】 本発明の一実施例に従って図3で示されたプラズマ処理室内で処理され得るウ
エハの例示的な断面図である。
【図4B】 本発明の一実施例に従って図3で示されたプラズマ処理室内で処理され得るウ
エハの例示的な断面図である。
【図5】 本発明の一実施例に従って図3で示されたプラズマ処理室内で堆積層の材料を
エッチングするための方法を示すフロチャートである。
【図6】 O2ガスに対する炭化フッ素ガスのいくつかの流量比の例を示す図である。
【符号の説明】
100…ウエハ 102…堆積層 104…シリコン基板 106…酸化層 108…ポリシリコン層 110…TEOS層 118…開口部 120…領域 200…ウエハ 300…プラズマ処理システム 301…プラズマ処理室 302…下部電極 304…上部電極 306…ウエハ 308…石英コンファインメントリング 310…投入ガス化学的構成 312a…第1のRFマッチングネットワーク 312b…第2のRFマッチングネットワーク 314a…第1のRF源 314b…第2のRF源 316…地面 400…ウエハ 402…積層 404…基板 406…酸化層 408…ポリシリコン層 410…絶縁層 412…フォトレジスト層 412a…フォトレジスト領域 418…領域 420…領域
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AE,AG,AL,AM,AT, AU,AZ,BA,BB,BG,BR,BY,BZ,C A,CH,CN,CR,CU,CZ,DE,DK,DM ,DZ,EE,ES,FI,GB,GD,GE,GH, GM,HR,HU,ID,IL,IN,IS,JP,K E,KG,KP,KR,KZ,LC,LK,LR,LS ,LT,LU,LV,MA,MD,MG,MK,MN, MW,MX,MZ,NO,NZ,PL,PT,RO,R U,SD,SE,SG,SI,SK,SL,TJ,TM ,TR,TT,TZ,UA,UG,UZ,VN,YU, ZA,ZW (72)発明者 ミューラー・ジョージ アメリカ合衆国 カリフォルニア州95126 サン・ホセ,エモリー・ストリート, 1565 (72)発明者 マクグラス・ピーター アメリカ合衆国 カリフォルニア州95661 ローズビル,ゴールド・パン・ドライ ブ,1298 Fターム(参考) 5F004 AA16 BA04 BC03 CA02 CA03 DA00 DA23 DA26 DB03 DB04 DB06 EB01 EB03

Claims (32)

    【特許請求の範囲】
  1. 【請求項1】 ウエハを処理するためのプラズマ処理室において、前記ウエ
    ハ上に堆積される絶縁材料層をエッチングする方法であって、 本質的にC36ガスとO2ガスとから成るエッチング用原料ガスを前記プラズ
    マ処理室内に流入させる工程と、 前記エッチング用原料ガスから形成されるプラズマを励起する工程と、 前記プラズマを用いて前記絶縁材料層の一部をエッチングすることにより、エ
    ッチング処理を行う工程と、 を備える方法。
  2. 【請求項2】 請求項1に記載の方法において、前記絶縁層は酸化フィルム
    から成る層である方法。
  3. 【請求項3】 請求項2に記載の方法において、酸化フィルムから成る前記
    層は、ボロホスホシリケートガラス(BPSG)、ホスホシリケートガラス(P
    SG)、ニ酸化ケイ素(SiO2)、及びTEOSから成る群から選択される方
    法。
  4. 【請求項4】 請求項2に記載の方法において、前記C36ガスについての
    流量は、毎分5ないし30標準立方センチメートル(sccm)の範囲内にある
    方法。
  5. 【請求項5】 請求項2に記載の方法において、前記O2ガスについての流
    量は、毎分2ないし10標準立方センチメートル(sccm)の範囲内にある方
    法。
  6. 【請求項6】 請求項2に記載の方法において、前記プラズマ処理室は低部
    電極を含み、該低部電極の温度は摂氏−10度ないし15度の範囲内にある方法
  7. 【請求項7】 請求項2に記載の方法において、前記C36ガスについての
    流量は、毎分8ないし18標準立方センチメートル(sccm)の範囲内にある
    方法。
  8. 【請求項8】 請求項2に記載の方法において、前記O2ガスについての流
    量は、毎分4ないし8標準立方センチメートル(sccm)の範囲内にある方法
  9. 【請求項9】 請求項2に記載の方法において、前記プラズマ処理室は低部
    電極を含み、該低部電極の温度は摂氏−2度ないし10度の範囲内にある方法。
  10. 【請求項10】 請求項2に記載の方法において、前記C36ガスについて
    の流量は、毎分約15ないし16標準立方センチメートル(sccm)である方
    法。
  11. 【請求項11】 請求項2に記載の方法において、前記O2ガスについての
    流量は、毎分約6標準立方センチメートル(sccm)である方法。
  12. 【請求項12】 請求項2に記載の方法において、前記プラズマ処理室は低
    部電極を含み、該低部電極の温度は約摂氏−2度である方法。
  13. 【請求項13】 請求項2に記載の方法において、前記プラズマ処理室は低
    部電極を含み、前記C36ガスは毎分15ないし16標準立方センチメートル(
    sccm)の流量で流れ、前記O2ガスは毎分約6センチメートル(sccm)
    の流量で流れ、前記低部電極の温度は約摂氏−2度である方法。
  14. 【請求項14】 請求項13に記載の方法において、前記酸化層をエッチン
    グするための処理時間は約180秒である方法。
  15. 【請求項15】 請求項13に記載の方法において、前記処理室に供給され
    る総電力は約1700ワット(W)である方法。
  16. 【請求項16】 請求項13に記載の方法において、更に、アルゴンガスを
    前記処理室内に流入させる工程であって、前記アルゴンを前記処理室内に毎分6
    0ないし300センチメートル(sccm)の範囲内の流量で流す工程を備える
    方法。
  17. 【請求項17】 請求項2に記載の方法において、前記処理室に供給される
    総電力は1200ないし3600ワット(W)の範囲内にある方法。
  18. 【請求項18】 請求項2に記載の方法において、前記酸化層のエッチング
    のための処理時間は100ないし300秒である方法。
  19. 【請求項19】 請求項2に記載の方法において、前記酸化層のエッチング
    のための処理時間は、前記酸化層の厚さに依存する方法。
  20. 【請求項20】 請求項2に記載の方法において、更に、アルゴンガスを前
    記処理室内に流入させる工程を備える方法。
  21. 【請求項21】 請求項1に記載の方法において、前記エッチングはコンタ
    クトを形成するためになされる方法。
  22. 【請求項22】 請求項1に記載の方法において、前記エッチングはバイア
    を形成するためになされる方法。
  23. 【請求項23】 請求項1に記載の方法において、前記エッチングはデュア
    ルダマシーン工程でなされる方法。
  24. 【請求項24】 請求項2に記載の方法において、前記エッチング処理は前
    記絶縁層上の特徴部をエッチングし、前記特徴部はエッチング前とエッチング後
    の間で約0.05μmより小さい寸法差を有する方法。
  25. 【請求項25】 請求項2に記載の方法において、前記エッチング処理は前
    記絶縁層上にある約0.2ないし0.3μm程度の特徴部をエッチングし、前記
    特徴部はエッチング前とエッチング後の間で約0.03μmより小さい寸法差を
    有する方法。
  26. 【請求項26】 請求項23に記載の方法において、前記微小寸法バイアス
    は、約0.25μmの幅を有するエッチングについて得られる方法。
  27. 【請求項27】 請求項2に記載の方法において、前記O2ガスに対するC36ガスの流量比は2ないし3の範囲にある方法。
  28. 【請求項28】 請求項2に記載の方法において、7.5のアスペクト比を
    有する特徴部に対し、0.03μm以下の微小寸法バイアスを得る方法。
  29. 【請求項29】 請求項2に記載の方法において、前記エッチング処理は前
    記絶縁上に、約0.25μm以下の幅を有する特徴部をエッチングし、それによ
    り前記エッチングされた特徴部に対するボーイングの影響が低減される方法。
  30. 【請求項30】 ウエハを処理するためのプラズマ処理室において、前記ウ
    エハ上に堆積される絶縁材料層をエッチングする方法であって、 本質的にC36ガスとO2ガスとから成るエッチング用原料ガスを前記プラズ
    マ処理室に流入させる工程と、 前記プラズマ処理室内に希釈ガスを流入させる工程と、 前記エッチング用原料ガスから形成されるプラズマを励起させる工程と、 前記プラズマを用いて絶縁材料層の一部をエッチングすることにより、エッチ
    ング処理を行う工程と、 を備える方法。
  31. 【請求項31】 請求項29に記載の方法において、前記希釈ガスはAr、
    He、Ne及びKrから成るガスの群から選択される方法。
  32. 【請求項32】 請求項29に記載の方法において、前記絶縁層は酸化フィ
    ルムから成る層である方法。
JP2001527323A 1999-09-27 2000-09-26 プラズマ処理室におけるエッチングを改良するための技術 Pending JP2003510834A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/405,949 US6410451B2 (en) 1999-09-27 1999-09-27 Techniques for improving etching in a plasma processing chamber
US09/405,949 1999-09-27
PCT/US2000/026454 WO2001024230A2 (en) 1999-09-27 2000-09-26 Techniques for improving etching in a plasma processing chamber

Publications (1)

Publication Number Publication Date
JP2003510834A true JP2003510834A (ja) 2003-03-18

Family

ID=23605899

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001527323A Pending JP2003510834A (ja) 1999-09-27 2000-09-26 プラズマ処理室におけるエッチングを改良するための技術

Country Status (5)

Country Link
US (1) US6410451B2 (ja)
JP (1) JP2003510834A (ja)
KR (1) KR100743873B1 (ja)
AU (1) AU7718400A (ja)
WO (1) WO2001024230A2 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU3514302A (en) 2000-11-29 2002-06-11 Schering Corp 0ovel farnesyl protein transferase inhibitors
TW567554B (en) * 2001-08-08 2003-12-21 Lam Res Corp All dual damascene oxide etch process steps in one confined plasma chamber
US6846747B2 (en) 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
US6979578B2 (en) 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
US7019844B2 (en) * 2002-08-13 2006-03-28 Lam Research Corporation Method for in-situ monitoring of patterned substrate processing using reflectometry.
US7399711B2 (en) * 2002-08-13 2008-07-15 Lam Research Corporation Method for controlling a recess etch process
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US20060021980A1 (en) * 2004-07-30 2006-02-02 Lee Sang H System and method for controlling a power distribution within a microwave cavity
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
US7189939B2 (en) * 2004-09-01 2007-03-13 Noritsu Koki Co., Ltd. Portable microwave plasma discharge unit
US7271363B2 (en) * 2004-09-01 2007-09-18 Noritsu Koki Co., Ltd. Portable microwave plasma systems including a supply line for gas and microwaves
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
US7867403B2 (en) * 2006-06-05 2011-01-11 Jason Plumhoff Temperature control method for photolithographic substrate
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US9793127B2 (en) * 2013-11-13 2017-10-17 Taiwan Semiconductor Manufacturing Company Limited Plasma generation and pulsed plasma etching

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JP3223692B2 (ja) * 1994-03-17 2001-10-29 株式会社日立製作所 ドライエッチング方法
WO1997024750A1 (en) 1995-12-29 1997-07-10 Trikon Technologies, Inc. Method for etching silicon dioxide using unsaturated fluorocarbons
US6043164A (en) * 1996-06-10 2000-03-28 Sharp Laboratories Of America, Inc. Method for transferring a multi-level photoresist pattern
JP3798491B2 (ja) 1997-01-08 2006-07-19 東京エレクトロン株式会社 ドライエッチング方法
US5973799A (en) * 1997-07-30 1999-10-26 Cyberscan Technology, Inc. ID card image reader
US6183655B1 (en) 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon

Also Published As

Publication number Publication date
KR20020041447A (ko) 2002-06-01
AU7718400A (en) 2001-04-30
WO2001024230A2 (en) 2001-04-05
US6410451B2 (en) 2002-06-25
WO2001024230A3 (en) 2001-10-25
US20010044212A1 (en) 2001-11-22
KR100743873B1 (ko) 2007-07-30

Similar Documents

Publication Publication Date Title
US6083844A (en) Techniques for etching an oxide layer
JP3957319B2 (ja) 半導体ウェーハをエッチングするための方法
US6326307B1 (en) Plasma pretreatment of photoresist in an oxide etch process
EP1186014B1 (en) Techniques for etching a low capacitance dielectric layer
US6114250A (en) Techniques for etching a low capacitance dielectric layer on a substrate
CN101064244B (zh) 形成用于高孔径比应用的各向异性特征图形的蚀刻方法
US5827437A (en) Multi-step metallization etch
US5772906A (en) Mechanism for uniform etching by minimizing effects of etch rate loading
US20060252265A1 (en) Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20100330805A1 (en) Methods for forming high aspect ratio features on a substrate
JP2003510834A (ja) プラズマ処理室におけるエッチングを改良するための技術
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
JP2002520853A (ja) 二重象眼のために特に有用な、誘電体多層構造のプラズマエッチプロセス
US5883007A (en) Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
JP2003517206A (ja) フッ素化合物ガス及び酸素からなるガス混合体を使用するタングステンのプラズマ処理
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
JPH10150019A (ja) フォトレジスト選択性を向上し重合体密着性を改善するためのプラズマ反応処理法
JPH11186229A (ja) ドライエッチング方法及び半導体装置の製造方法
JPH10189537A (ja) ドライエッチング方法
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
JPH0774147A (ja) ドライエッチング方法およびドライエッチング装置
US5520770A (en) Method of fabricating semiconductor device
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
JP2004207286A (ja) ドライエッチング方法および半導体装置の製造方法