JP3957319B2 - 半導体ウェーハをエッチングするための方法 - Google Patents

半導体ウェーハをエッチングするための方法 Download PDF

Info

Publication number
JP3957319B2
JP3957319B2 JP52959897A JP52959897A JP3957319B2 JP 3957319 B2 JP3957319 B2 JP 3957319B2 JP 52959897 A JP52959897 A JP 52959897A JP 52959897 A JP52959897 A JP 52959897A JP 3957319 B2 JP3957319 B2 JP 3957319B2
Authority
JP
Japan
Prior art keywords
layer
etching
chemical
tin
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP52959897A
Other languages
English (en)
Other versions
JP2000504884A5 (ja
JP2000504884A (ja
Inventor
シー. アブラハム、スーザン
Original Assignee
ラム リサーチ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ラム リサーチ コーポレイション filed Critical ラム リサーチ コーポレイション
Publication of JP2000504884A publication Critical patent/JP2000504884A/ja
Publication of JP2000504884A5 publication Critical patent/JP2000504884A5/ja
Application granted granted Critical
Publication of JP3957319B2 publication Critical patent/JP3957319B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/53After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone involving the removal of at least part of the materials of the treated article, e.g. etching, drying of hardened concrete
    • C04B41/5338Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Structural Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

発明の背景
本発明は半導体集積回路(IC)の製法に関する。より詳細には、本発明はICの製造時にチタン含有層を含むICの積層をエッチングするための方法と装置に関する。
半導体ICの製造において、トランジスタ素子のようなデバイスは、通常、シリコンで作られた半導体のウェーハまたは基板上に形成される。金属の相互接続線は、ウェーハ上に配置されたメタライゼーション層がエッチングされたもので、各素子を結合して所望する回路を形成する場合に使用される。考察を容易にするため、図1に、積層20の断面図を示している。これは、通常の半導体ICの製造時に形成される各層を表している。注意を要するのは、図示している層の上、下、または間に他の層が付加される場合があることである。さらに、必ずしも必要な層のすべてを示していないし、いくつかまたはすべての層を他の異なる層で代替できる。
積層20の最下部にウェーハ100を示している。酸化物層102は、通常、SiO2から成り、ウェーハ100上に形成される。バリア層104は、通常、Ti、TiW、TiN、または他の適切なバリア材料などのチタン含有層から成り、酸化物層102と、次に蒸着されるメタライゼーション層106との間に積層することができる。バリア層104は、シリコン原子が酸化物層102からメタライゼーション層106に拡散することを防止する。
メタライゼーション層106は、通常、銅、アルミニウム、あるいはAl-Cu、Al-SiまたはAl-Cu-Siのような既知のアルミニウム合金から成っている。図1の残りの2層、つまり反射防止コーティング(ARC)層108と、重なり合っているフォトレジスト(PR)層110は、メタライゼーション層106の上に形成される。ARC層108は、通常、TiNまたはTiWのような他のチタン含有層から成り、光(例、フォトレジストのパターンを成形するリソグラフィ段階を防止する)がメタライゼーション層106の表面から反射するのを防止したり、ある場合には、ヒルロックの成長を抑止する。フォトレジスト層110は、従来のフォトレジスト材料の層を示している。この層には、たとえば、紫外線を当てるなどのエッチングでパターンが成形される。積層20の各層は、この技術の熟練者には容易に確認でき、多くの適切で既知の蒸着処理、つまり化学蒸着(CVD)、プラズマ強化化学蒸着(PECVD)、および物理蒸着(PVD)を使用して形成できる。
前記の金属の相互接続線を形成するには、メタライゼーション層、たとえば、メタライゼーション層106も含む積層のいくつかの層の一部を、適切なフォトレジスト技術を使用してエッチングする。たとえば、そのようなフォトレジスト技術は、コンタクトまたはステッパ・リソグラフィ・システムでフォトレジスト材料を露光してフォトレジスト層110のパターン成形、および次のエッチングを容易にするためにマスクを形成するためのフォトレジスト材料の開発を伴う。適切なエッチング・ガスを使用して、マスクで保護されていないメタライゼーション層の領域はエッチングされ、メタライゼーション相互接続の線または面は残される。
説明のために、図2に、図1の積層20の従来のエッチングを終了した断面図を示す。この例では、金属相互接続線は、メタライゼーション層106のエッチングされていない部分で示されている。
回路密度を非常に高くするため、近代のIC設計は、高密度狭帯幅の設計規則で決めている。その結果、面サイズ、つまり相互接続線の幅または至近相互接続線間の間隔(例、溝)は、次第に狭くなっている。例として、約0.8ミクロン(μm)の線幅は、4メガビット(Mb)のダイナッミック・ランダム・アクセス・メモリ(DRAM)ICには適用可能であるが、256MbDRAM ICには、できれば0.25ミクロン以下の細い相互接続線を採用した方がよい。
面サイズが縮むに従って、ウェーハ全体に渡って均一なエッチング速度を達成することがだんだん困難になっている。一般に、狭い間隔でのエッチング速度は、広い間隔、広々とした領域でのエッチングよりも遅くなる。この現象をここではエッチング速度におけるローディングと呼ぶ。これは、マイクロローディングおよび縦横比依存エッチング(ARDE)の結果である。マイクロローディングとは、主に低密度の領域に配置される溝のエッチング速度に比較して、高密度の線間隔のある領域に配置される同じ程度の溝のエッチング速度の方が遅いいう状況を呼んでいる。一方、ARDEとは、主に同一溝密度の領域に配置される溝間、および異なる縦横比を持つ溝間のエッチング速度が変動する状況を呼んでいる。エッチング速度のローディングは、異なる速度で積層に溝を形成させる。エッチング速度でのローディングは、溝幅が約0.5ミクロン以下、特に約0.35以下に狭くなるとさらに厳しくなる。エッチング速度の変動の結果として、金属のエッチングがエッチング速度(例、狭い線間隔いおいて)の遅い領域において終了するまで、オーバーエッチング、つまり下に横たわっている層からの物質の思いがけない除去がすでにエッチング速度の速い領域(広々とした領域)で行われている。
図2において、領域120はメタライゼーション層が過大にエッチング(距離d1)されている広々とした領域を示し、領域122はメタライゼーション層が過小にエッチング(距離d2)されている領域を示している。エッチング速度の変動が十分に大きいと、ある形状によっては、ターゲット層、たとえば、下に横たわっている層に対して必要以上の損傷が広々とした領域で発生する前に、狭い間隔の金属層をエッチングできない場合がある。たとえば、エッチング速度の大きな変動によって、領域120での必要以上のエッチングと過度の酸化物の消失が発生して、処理中のウェーハがICの製造に使用できなくなる。
従来の技術では、TiN ARC層、アルミニウム・メタライゼーション層、およびTiNバリアは、通常、単一の化学反応(例、Cl2/BCl3)を使用してエッチングする。Cl2/BCl3は、通常、TiN層をエッチングする速度よりも速い速度でアルミニウム・メタライゼーション層をエッチングする。例として、Cl2/BCl3のアルミニウムのエッチング速度は、約9,000オングストローム/分であり、一方、Cl2/BCl3のTiNのエッチング速度は、2,500〜3,000オングストローム/分である。TiNエッチングの遅い速度は、ウェーハ全体の処理量を不都合に減少させる。つまり、単位時間当たりに処理されるウェーハ数が少なくなる。さらに、TiNエッチングの遅い速度は、保護フォトレジスト層が長時間エッチング処理されるので、フォトレジストの選択性が減少する。
前記を考慮して、ここで説明することは、IC製造時にTiN ARC層またはTiNバリア層のようなチタン含有層をエッチングするために改善された方法と装置である。
発明の概要
本発明は1つの実施例において、プラズマ処理チャンバでのウェーハ積層のTiN層をエッチングするための方法に関する。この方法はTiNエッチング・ガス、不活性ガス、および重合体成形化学物質を好適に含む第1化学物質を使用して少なくともTiN層の一部をエッチングする段階を有する。
本発明は他の実施例において、プラズマ処理チャンバでのウェーハ積層から成る素子を有する集積回路に関する。このウェーハ積層は、TiN層を有し、その集積回路は、TiNエッチング・ガス、不活性ガス、および重合体成形化学物質を含む第1化学物質を使用して特にTiN層をエッチングすることによって形成される。
本発明は更なる他の実施例において、プラズマ処理チャンバでのウェーハ積層の選択された部分をエッチングするための方法に関する。このウェーハ積層は、TiN反射防止コーティング(ARC)層、メタライゼーション層、およびTiNバリア層を有する。この方法は、TiNエッチング・ガス、不活性ガス、および重合体成形化学物質を好適に含む第1化学物質を使用してTiN ARC層をエッチングする段階を有する。さらに、この方法は、少なくともメタライゼーション層の一部をメタライゼーション・エッチング・ガスでエッチングする段階を有する。さらに、少なくともTiNバリア層の一部を第1化学物質でエッチングする段階を有する。
好適な実施例において、第1化学物質はCl2/Ar/CHF3である。
本発明の前記および他の利点は、以下の詳細な説明及び図面によって明らかになる。
【図面の簡単な説明】
図1は積層の断面図を示し、そして代表的な半導体ICの製造時に形成された層を示す。
図2は図1の従来のエッチングを終了した積層の断面図を示す。
図3はTCP(商標)9600 SEプラズマ・リアクタの簡略図を示し、そして発明したエッチング処理に使用するための適切なプラズマ処理システムを示す。
図4は本発明の実施例において、発明の第1化学物質がTiN ARC層をエッチングするために使用される発明のエッチング技術を示す。
図5は本発明の他の実施例において、発明の第1化学物質がTiNバリア層をエッチングするために使用される発明のエッチング技術を示す。
図6は本発明の更なる他の実施例において、発明の第1化学物質がTiN ARC層およびTiNバリア層の両方をエッチングするために使用される発明のエッチング技術を示す。
好適な実施例の詳細な説明
本発明では、TiN ARC層またはTiNバリア層のようなチタン含有層の改良されたエッチングについて説明する。以下の説明では、本発明が完全に理解されるように多数の特定の詳細を説明する。しかし、この技術の熟練者にとっては、本発明をいくつかまたはすべての特定の詳細なしでに実践できることは明らかである。場合により、公知の処理段階については、本発明を不必要に曖昧にしないために詳細には説明しない。
本発明のある面において、前記のエッチング速度のローディング問題は、積層を2つの異なる化学物質で2つの段階でエッチングすることによって軽減される。この最初の化学物質のエッチング段階は、好適に少なくともTiN ARC層(例、図1のARC層108)を処理する。前記のTiN ARC層をエッチングするために使用する重要なTiNエッチング・ガスに加えて、第1化学物質には、好適に不活性ガスと重合体成形化学ガスを含んでいる。その後、積層は、最初の化学物質とは異なる第2の化学物質で再びエッチングされる。この第2化学物質のエッチング段階では、好適に少なくともメタライゼーション層(たとえば、図1の層106)の一部を処理できる。次に、TiNバリア層は、前記の第2化学物質または第1化学物質のいずれかでエッチングされる。
本発明のエッチング処理は、ドライ・エッチング、プラズマ・エッチング、リアクティブ・イオン・エッチング(RTE)、磁気強化エッチング・リアクティブ・イオン・エッチング(MERIE)などに使用される既知のいずれかのプラズマ処理装置で実行できる。さらに詳しく説明すると、ドライ・エッチングに使用される一般的なプラズマ処理チャンバ内では、ウェーハがプラズマで処理される。そのチャンバは、入口を有して、そこから処理エッチング・ガスがチャンバ内に供給される。適切なRFエネルギー源(例、RFエネルギー源)が、プラズマを誘導するためにチャンバに関連する電極に印加される。このエネルギー自身は、明かのようにプラズマを持続させるために誘導的または容量的に結合される。そして、種がウェーハと反応するためにエッチング・ガス源のガスから形成され、ウェーハ積層のプラズマ接触層でエッチングされる。そして、揮発性の副産物は出口から排出される。
プラズマ・エッチングは、ウェーハの処理時にウェーハが陽極または接地電極に配置される状況に関係する。一方、リアクティブ・イオン・エッチング(RIE)は、ウェーハの処理時にウェーハが陰極または電源電極に配置される状況に関係する。磁気強化エッチング・リアクティブ・イオン・エッチング(MERIE)は、RIEリアクタ形状の変形体であり、その中ではリアクタ壁面に対する活動的な電子の消失を削減するために磁界が印加される。MERIEは、ある状況下においては、電極から電子へのエネルギーの移転効率を向上させ得ることが分かっている。
本発明は、前記のリアクタ、および他の適切なプラズマ処理リアクタのいずれにおいても実践できることについて考察する。前記は、エネルギーが容量的に結合された平行な電極板、電子サイクロトロン共鳴(ECR)マイクロウェーブ・プラズマ源、ヘリコンのような誘導的に結合されたRF源、ヘリカル共振子、およびプラズマと結合された変圧器のいずれを通してもプラズマに渡されることは真実であることに注意されたい。特に、ECRおよびTCPプラズマ処理システムは、カリフォルニア州のフレモント(Fremont)にあるラムリサーチ社(Lam Research Corporation)から購入できる。
好適な実施例において、本発明は、TCP(商標名)9600 SEプラズマ・リアクタを使用している。このリアクタは、前記のようにラムリサーチ社から購入できるけれども、他の従来の適切なプラズマ処理リアクタも使用できる。図3は、TCP 9600 SEプラズマ・リアクタの簡略図である。この図は、ウェーハ350および集積回路チップ352を有する。これらは、ウェーハが本発明のエッチング技術に従ってエッチングされ、そして従来のエッチング後の処理段階を終了した後、ウェーハ350から切り離されたダイから製造される。図3において、ウェーハ・リアクタ300は、プラズマ処理チャンバ302を備えている。チャンバ302の上部には、電極303が配置され、図1の例にあるコイルによって実現される。コイル303は、整合回路網(図3にはない)を経由してRF発生器305によって励起される。
チャンバ302内には、シャワー・ヘッド304が設けられ、ガス源の物質(例、エッチング・ガス源のガス)をヘッドとウェーハ350の間のRF誘導プラズマ領域に放出するための孔が複数ある。さらに、このガス源物質は、孔からもチャンバ自身の壁内に放出される。ウェーハ350は、チャンバ302内に挿入され、そしてチャック310上に配置される。このチャックは、第2電極として作用し、好適に無線周波発生器320(さらに、一般的に整合回路網を経由する)でバイアスされる。均一かつ再現可能なエッチング結果を確保するため、ヘリウム冷却ガスが圧力(例、この実例では約5〜10Torr)の下にチャック310とウェーハ350との間に注入されて、ウェーハの温度を正確に冷却するための熱伝達媒体として作用する。プラズマ・エッチング時のチャンバ302内の圧力は、好適に低く、たとえば、1つの実施例では8〜22mTorrに維持される。複数のヒーター(簡略にするため、図1には示していない)がエッチングのための適切なチャンバ温度(1つの実施例では、約70℃)を維持するために備えてある。接地経路を備えるため、チャンバ302のチャンバ壁は、通常、接地されている。
本発明の実施例において、チタンを含有するウェーハ積層の反射防止コーティング層は、第1化学物質でエッチングされる。1つの実施例では、反射防止コーティング層は、第1化学物質でエッチングされる層であることを示しているが、この第1化学物質エッチングの段階は、所定のウェーハ積層構造において、メタライゼーション薄層、たとえば、付着層、シード層などの層上でも行える。ここで使用している用語のメタライゼーション薄層は、メタライゼーション層上に配置される層を意味している。この積層は、TiN、または主にチタンである物質のいずれでも形成できる。
1つの実施例において、第1化学物質でのエッチングは、反射防止コーティング層がエッチングされたことが分かったときに終了する。1つの実施例では、反射防止コーティング層は、TiNで形成され、終了点の検知は、プラズマの703nm波長の上昇を光学的に監視して行われる。これは、TiN反射防止コーティング層が実質的にすべて除去されたことを示している。そして、エッチングはただちに終了する。第1化学物質のエッチング段階の終了点を調べるために他の従来の方法も使用できる。
本発明の一面において、TiN反射防止コーティング層エッチング用の第1化学物質は、3種類のガス、つまり、TiNエッチング・ガス、不活性ガス、および重合体成形ガスから成っている。より好適に、TiNエッチング・ガスは、塩素(cl2)であって、不活性ガスは、アルゴン、キセノン、クリプトンなどのいずれでもよい。最も好適に、TiN反射防止コーティング層のエッチング用第1化学物質は、cl2/Ar/CHF3である。
続いて、積層の残りは、少なくともメタライゼーション層の一部が第2化学物質でエッチングされる。たとえば、第2化学物質は、再び第1化学物質でのエッチングの下にあるバリア層とともに大部分のメタライゼーション層をエッチングするために使用できる。第2化学物質は、好適に第1化学物質とは異なる。その理由は、重合体生成ガスをバルク・エッチング、たとえばアルミニウム・メタライゼーション層のエッチング用に使用すると、マイクロマスキングによる残留物をもたらす結果となり、フォトレジストの選択性を低めるおそれがある。この第2化学物質とは異なる斬新な第1化学物質(ARC層のエッチング用として)の使用が、本発明の重大な特徴である。これが、TiN ARC層およびメタライゼーション層の両方を唯一の化学物質(通常、Cl2/BCl3)でエッチングする従来の技術とは、非常に対照的なところである。
本発明のアプローチは、従来技術の2種化学物質アプローチとは非常に異なる。従来の技術の2種化学物質アプローチは、チタン・タングステン(TiW)をARC層物質に使用する場合に採用されるもので、チタン硝酸塩(TiN)をARC層物質に使用する場合ではない。さらに詳しく説明すると、従来技術のアプローチでは、TiN ARCをエッチングするためにSR6第1化学物質を使用している。そして、残りの層は、他の物質(例、Cl2/BCl3)でバルク・エッチングされる。これは、SR6がアルミニウムを十分にエッチングしないためである。従来の技術では、SR6は、TiW物質をエッチングできるために第1化学物質として使用されているが、エッチング速度のローディングを減らすためではない。さらに、従来技術のアプローチでは、重合体成形ガスを第1化学物質のエッチング・ガス化学物質に追加することはない。これは、SR6が第1化学物質のエッチング・ガスと重合体成形ガスとして機能するためである。
さらに、SR6は、フォトレジストに対するエッチング速度が速い。したがって、TiWがARC層物質である場合は、通常、下に横たわっている面をSF6エッチング・ガスから守るために厚いフォトレジスト層を使用する必要がある。
しかし、TiNをARC層の物質として使用する場合、従来のアプローチでは、TiN ARC層とバルクをエッチングするために1つの化学物質(例、Cl2/BCL3)を使用している。これは、TiNエッチング・ガス(例、Cl2)がSF6に関するフォトレジスト選択性の問題にわずらわされないためである。つまり、Cl2は、SF6に比較してフォトレジストに対するエッチング速度が速くないためである。
さらに、重合体成形ガスを第1化学物質に使用すると、本発明の特徴を不明瞭にする。その理由は、重合体成形ガスが高速でフォトレジストをエッチングしてしまいがちなためである。つまり、エッチング化学物質の付加物がフォトレジストの選択性を減らすためである。重合体成形ガスを第1化学物質に使用すると、フォトレジストの選択性を高める従来のアプローチとは反対になる。本発明の第1化学物質は、エッチング・ガス化学物質を補う重合体成形ガスを含むが、下に横たわっている厚い層やバリア層、たとえば、TiN ARC層およびTiNバリア層、またはその一方を短時間に適量のエッチングを行う場合に使用される。その結果としてのデータによると、必要以上の有害な結果は示していない。しかし、重合体成形ガスの量は、多すぎてもよくない。たとえば、cl2の流れが約50%以上になってはならない。保護フォトレジスト層を過度に損傷すると、不動態化蒸着とリバース・エッチング速度のローディングが過度になる原因となる。
本発明の一面において、エッチング速度のローディングは、エッチングの開始段階でのエッチング速度のローディング問題を解決するためにエッチング(例、ARC層のようなメタライゼーション層を重ね合わせている層上)の開始時に異なる化学物質を使用して削減できる。さらに詳しくは、本願譲受人に譲渡された同日付の「エッチング速度のローディングを減らすための方法と装置」という名称の特許出願を参照されたい。
従来技術の単一化学物質のCl2Bcl3エッチングにおいて、6インチのウェーハで0.35ミクロン形状のエッチング速度のローディングは、約25〜30%であること、つまり広々とした領域でのエッチング速度は、0.35ミクロン間隔におけるものよりも25〜30%速いことが分かっている。同様な処理パラメータにおいて、TiN ARC層をエッチングするための第1化学物質としてCl2/Ar/CHF3を使用しているエッチング処理で達成したエッチング速度のローディングは、ウェーハの中央で約3%、ウェーハの縁で約2%であることが分かっている。
エッチング速度のローディングを減らすために、エッチング時間を延ばして狭い間隔で金属層をエッチングする必要はない。エッチング時間が短いと、バルク・エッチング時における保護フォトレジスト・マスク領域の損傷が少なくなるので、そのフォトレジスト・マスク領域の下に横たわっている面をエッチング時に保護する能力が向上することになる。
TiN ARC層をエッチングするために第1化学物質としてCl2/Ar/CHF3を使用すると、ウェーハの処理量が向上するメリットが生じる。これは、本発明のCl2/Ar/CHF3化学物質が従来技術のCl2Bcl3化学物質よりも高速度でTiNをエッチングするためである。たとえば、従来技術のCl2Bcl3化学物質は、約2,500〜3,000オングストローム/分であるが、本発明のCl2/Ar/CHF3は、TiN層を10,000オングストローム/分を超える速度でエッチングする。TiN ARC層のエッチング速度が向上すると、ウェーハ処理量が増加する。
本発明の第1化学物質(Cl2/Ar/CHF3など)でTiN ARC層をエッチングすると、インタフェース・ノッチングが少なくなることが分かる。インタフェース・ノッチングとは、従来技術のCl2Bcl3化学物質でARC層と金属層をエッチングすると、両層間でときどき観察されるノッチを意味する。インタフェース・ノッチングは、所望する垂直エッチング側壁からのずれであるので、そのかなりのずれを本発明の第1化学物質(Cl2/Ar/CHF3)で除去できることは、本発明の利点である。
その後、バルク・エッチングでは、残りの層を従来の第2化学物質を使用してエッチングできる。第2化学物質自体は、メタライゼーション層をエッチングするための適切なエッチング・ガスおよびボンバード化学物質を含有できる。1つの実施例において、第2化学物質は、Cl2/BCl3、Cl2/BCl3CHF3、Cl2/BCl3CHF3、Cl2/BCl3/HCl、Cl2/BCl3/N2、およびCl2/N2から成るグループの1つである。例として、70:20:10(sccm)の流量率を持つCl2/BCl3CHF3の混合物が、約0.5%〜1%の銅を含むアルミニウムの合金から成るメタライゼーション層を完全にエッチングするために適切であることが分かっている。
第2化学物質でのエッチングは、少なくともメタライゼーション層の一部がエッチングされると終了する。この場合、他の化学物質で残りのメタライゼーション層をエッチングできる。より好適には、第2化学物質でのエッチングは、メタライゼーション層が完全にエッチングされたことを判定すると終了することである。1つの実施例では、メタライゼーション層は、アルミニウムまたはその合金から成っており、終了点の検知は、プラズマにおいての261nmの光波長の上昇を光学的に監視して達成される。これは、アルミニウム・メタライゼーション層が実質的にすべて除去されたことを示している。そして、第2化学物質エッチングは、直ちに終了するか、メタライゼーション層物質の完全な除去を確実にするために設定した時間(数秒の追加分)だけ続行できる。
1つの実施例において、第2化学物質エッチングは、バリア層をエッチングできるように拡張されている。しかし、他の実施例では、前記の第1化学物質を使用してバリア層をエッチングしている。たとえば、前記のCl2/Ar/CHF3は、バルク・エッチング段階を終了した後もTiNバリア層をエッチングするために使用できる。
チタン含有バリア層をエッチングするために第1化学物質を使用すると、いくつかの重要なメリットをもたらす。たとえば、Cl2/Ar/CHF3をTiNバリア層(従来のバルク・エッチング段階後)をエッチングするために使用すると、Cl2/Ar/CHF3のTiN対アルミニウムの選択性(ある場合には、最大で約4.6:1)が高くなり、TiNエッチング速度も速くなり、アルミニウム側壁の下部切り落としが少なくなって有利になる。反対に、従来技術のCL2/BCl3では、TiNエッチング速度が遅くなり、アルミニウムの選択性も低くなって不利になる。これらのCL2/BCl3の不利な特性によって、エッチング終了後のアルミニウムの垂直断面が狭くなる。
本発明の第1化学物質でのTiNエッチングは、高速であるため、第1化学物質でバリア層をエッチングすると、ウェーハの処理速度も速くなる。さらに、Cl2/Ar/CHF3でTiNバリア層をエッチングすると、バリア・フットを減少させるようである。バリア・フットは、エッチング後のアルミニウム面の下部にあるバリア層に階段のような構造が現れることを意味する。バリア・フットは、アルミニウム・メタライゼーション層とTiNバリア層の両方を狭い間隔でエッチングするためにCL2/BCl3を使用すると、ときどき従来技術でも観察される。
バリア・フットは、本発明のエッチング技術によってかなり除去されるものと信じられている。これは、本発明のCl2/Ar/CHF3第1化学物質のTiNエッチング速度(約10,000オングストローム/分)が、従来技術のTiNエッチング・ガスのTiNエッチング速度(つまり、CL2/BCl3を使用して、約2,500〜3,000オングストローム/分)よりも、アルミニウム・エッチング速度(つまり、CL2/BCl3を使用して、約9,000オングストローム/分)に非常に一致するためである。バリア・フットは、垂直エッチング側壁と溝底のずれを表すので、そのずれを本発明のCl2/Ar/CHF3でかなり除去できることは、本発明の利点である。
さらに、本発明のCl2/Ar/CHF3化学物質を使用すると、バリア層のエッチング時における酸化物消失が少なくなる。Cl2/Ar/CHF3は、約5:1のTiN対酸化物の選択性を持ち、酸化物質をエッチングする速度よりも約5倍も速い速度でTiN物質をエッチングする。反対に、CL2/BCl3のような従来の化学物質は、約1.5:1〜2.1のTiN対酸化物の選択性しか持っていない。TiN対酸化物の選択性が高い場合、バリア・エッチング段階を拡張して、すべてのバリア層物質が狭い間隔においても除去されるようにすると、特に広い間隔での過大な酸化物の消失を防止できるので有利である。
以下のメカニズムは、ここで説明する有利なエッチング結果を得るために役割を果たすと思われる。ARC層のエッチングの開始段階において、狭い溝の底に並んでいる薄いマスキング・フォトレジスト層が存在する。この薄いマスキング・フォトレジスト層は、たとえば、フォトレジストのパターン成形後の狭い幾何学的空間に残っている残留物を表す。この残留物が残るのは、フォトレジスト成形時にフォトレジストは、広い領域からは適切に除去されるが、狭い幾何学的空間から完全には除去されないためである。この場合、第1化学物質内の不活性ガス(例、アルゴン)の存在は、この薄いマスキング層の物理的エッチング(つまり、ボンバード)を強化して、広い空間内と同じ程度の時間で狭い幾何学的空間においてもエッチングを開始できる。したがって、エッチング速度のローディングが減少して有利になる。
重合体成形化学物質(例、N2、CHF3など)は、主にエッチングに重要な化学物質を補うものである。この方法において、第1化学物質は、積層(例、TiN ARC層)をエッチングする一方、同時に重合体をこの積層に蒸着する。重合体成形剤を使用した第1化学物質のマイクロマスクを広い間隔で使用すると、マスキング重合体が狭い間隔におけるよりも速い速度で蒸着される。マイクロマスキング現象により、広い間隔でのエッチング速度は、遅くなる。したがって、広い間隔でのエッチング速度と狭い間隔でのエッチング速度の差が少なくなる。
エッチング速度は、重合体成形剤の流量をおおよそに変えて調整できると信じられている。例として、重合体成形剤の流量を増やすと、エッチング時の重合体蒸着が増加して、エッチング速度が遅くなる傾向にある。
さらに、不活性ガス(例、アルゴン)が存在すると、Cl2がClの反応性のある種類に解離されるのが強くなると信じられている。反応性のある種類が増加すると、エッチングが高速となる。さらに、不活性ガス(例、アルゴン)が存在すると、不動態化重合体が発生する原因になると信じられている。この不動態化重合体は、バリア・エッチング段階中にアルミニウムの側壁上に形成される。たとえば、その忌避剤がClの反応性のある種類に増すと、アルミニウム側壁の反応性のある種類の類似が減少する。その結果、Clの反応性のある種類が溝底に向かって容易に移動してバリア層を異方向に高速エッチングする。
他の可能な説明は、Clの反応性の種がアルミニウム側壁にくっつく代わりに、より容易に溝底に向かって移動することを可能にするためにこれらの種の表面拡散を変更する不活性ガス(例、アルゴン)の能力についてである。
図4に、本発明の実施例において、本発明の第1化学物質がTiN ARC層をエッチングするために使用している本発明のエッチング技術を示す。ここに開示した本発明のエッチング技術を使用する前に、ウェーハは、従来のエッチング前段階でのエッチング準備を必要とすることを理解しておく必要がある。エッチング前段階には、たとえば、ウェーハのチャックへの締め付け、プラズマ処理チャンバ内の圧力の安定化、およびウェーハとチャック間の熱伝導をよくするためにヘリウム冷却ガスをウェーハの裏側に送り込むことを含む。
段階402では、少なくともTiN ARC層の一部は、本発明の第1化学物質を使用してエッチングされる。この第1化学物質は、TiN ARC層をエッチングするための好適にTiNエッチング・ガス/不活性ガス/重合体成形ガスの組み合わせである。さらに好適に、第1化学物質は、TiN ARC層をエッチングするためのCl2/Ar/CHF3であり、さらにメタライゼーション層は、本質的にアルミニウムから成る。404段階では、積層の残りの層、およびメタライゼーション層とバリア層は、従来の化学物質(例、Cl2/BCL3)でエッチングされる。
図5に、本発明の他の実施例において、本発明のエッチング技術では、本発明の第1化学物質でTiNバリア層をエッチングすることを示す。502段階では、従来の化学物質(例、Cl2/BCL3)でARC層およびメタライゼーション層の両方をエッチングする。504段階では、本発明の第1化学物質を使用して、少なくともTiNバリア層の一部をエッチングする。第1化学物質は、好適にTiNバリア層をエッチングするためのTiNエッチング・ガス/不活性ガス/重合体成形ガスの組み合わせである。さらに好適に、第1化学物質は、TiNバリア層を完全にエッチングするためのCl2/Ar/CHF3である。
図6に示す更なる他の実施例において、本発明のエッチング技術では、化学物質を使用してTiN ARCおよびTiNバリア層の両方をエッチングする。図4の402段階に類似の602段階では、TiN ARC層は、第1化学物質を使用してエッチングされる。604段階では、積層のバルクおよびメタライゼーション層(但し、TiNバリア層を除く)は、従来の化学物質(例、Cl2/BCL3)を使用してエッチングされる。606段階では、TiNバリア層は、第1化学物質を使用してエッチングされる。この606段階は、図5の504段階に類似している。
図4、5、または6の本発明のエッチング処理に続いて、ウェーハは、全く従来のエッチング後処理段階を経る。その後、完成したウェーハは、切り離されてダイになる。これがICチップとなる。結果として生じるICチップ、たとえば、図3のICチップ352は、電子装置、たとえば、よく知られている工業用または民生用の電子装置、さらにはデジタル・コンピュータにも組み込まれる。
例として、以下の表1に、処理パラメータの概要を示す。これは、TCPTM9600 SEプラズマ・リアクタでの6インチのCl2/Ar/CHF3第1化学物質エッチングに適切な処理パラメータである。この例では、メタライゼーション薄層は、TiN反射防止層であり、これは、約0.5%の銅を含むアルミニウム層に重なっている。以下の表には、おおよその適切な範囲、おおよその好適な範囲、および上部電極の電力(ワット)の最も好適な範囲、下部電極の電力(ワット)、および流速(指定しない限り、総エッチング・ガス源の流速のパーセンテージ)を示している。その他のエッチングに有用なパラメータ値は、同一ウェーハと反射防止層や他のウェーハと反射防止層のような従来技術に詳しい人々にとっては、すぐに入手可能である。
Figure 0003957319
本発明は、いくつかの好適な実施例に関して説明してきたが、本発明の請求範囲内に入る代替、置換、および同等なものもある。たとえば、本発明の化学物質(例、Cl2/Ar/CHF3)は、特にTiN ARCおよびバリア層、またはそのいずれかをエッチングするために適切ではあるけれども、気をつけて欲しいことは、この第1化学物質は、ウェーハ積層のいずれのTiN層をエッチングするためにも使用できることである。さらに注意して欲しいことは、本発明の方法と装置を実現する方法は代わりの方法も多くあるということである。それゆえ、以下に示す特許請求の範囲は、そのようなすべての代替、置換、および同等なものも本発明の真の精神と範囲内に包含されものと解釈する。

Claims (21)

  1. プラズマ処理チャンバにおいて、ウェーハ積層をエッチングするための方法であって、前記ウェーハ積層は、任意の層、アルミニウム含有層及びTiNバリア層を備え、前記任意の層は、本質的にTiNから成る第1の層、および本質的にTiから成る第2の層のうちの一方であり、前記任意の層が前記アルミニウム含有層上に積層されて、前記アルミニウム含有層が前記TiNバリア層上に積層されているものであり、
    少なくとも前記任意の層の一部を第1化学物質、つまり前記任意の層をエッチングするために構成されているエッチング・ガス、不活性ガス、および、N 2 とCHF 3 との一方である重合体成形化学物質から成る前記第1化学物質を使用してエッチングすることと
    少なくとも前記アルミニウム含有層の一部を、前記第1化学物質とは異なる第2化学物質を使用してエッチングすることと、
    少なくとも前記TiNバリア層の一部を前記第1化学物質を使用してエッチングすることとを特徴とする方法。
  2. 前記任意の層が前記第1の層である請求項1の方法。
  3. 前記エッチング・ガスがCl2である請求項2の方法。
  4. 前記不活性ガスがアルゴンである請求項3の方法。
  5. 前記重合体成形化学物質がCHF3である請求項4の方法。
  6. 前記不活性ガスがアルゴンである請求項2の方法。
  7. 前記重合体成形化学物質がCHF3である請求項6の方法。
  8. 前記重合体成形化学物質がCHF3である請求項2の方法。
  9. 前記任意の層が前記第1の層であり、前記エッチング・ガスがCl 2 であり、前記不活性ガスがアルゴンであり、前記重合体成形化学物質がCHF 3 である請求項1の方法。
  10. プラズマ処理チャンバにおいて、ウェーハ積層の選択された部分をエッチングする方法であって、前記ウェーハ積層は、TiN反射防止コーティング(ARC)層、メタライゼーション層、およびTiNバリア層を備え、前記TiN ARC層が前記メタライゼーション層上に積層されており、前記メタライゼーション層が前記TiNバリア層上に積層されているものであり、
    少なくとも前記TiN ARC層の一部を第1化学物質、つまりTiNエッチング・ガス、不活性ガス、および、N 2 とCHF 3 との一方である重合体成形化学物質から成る前記第1化学物質でエッチングすることと、
    少なくとも前記メタライゼーション層の一部を前記第1化学物質とは異なる第2化学物質でエッチングすることと、
    少なくとも前記TiNバリア層の一部を前記第1化学物質でエッチングすることとを特徴とする方法。
  11. 前記TiNエッチング・ガスがCl 2 である請求項10の方法。
  12. 前記不活性ガスがアルゴンである請求項11の方法。
  13. 前記重合体成形化学物質がCHF 3 である請求項12の方法。
  14. 前記メタライゼーション層がアルミニウム含有層である請求項13の方法。
  15. 前記第2化学物質が、前記第1化学物質により達成できるエッチング速度よりも速いエッチング速度で前記アルミニウム含有層をエッチングするように構成されている請求項1の方法
  16. 前記第2化学物質がCl 2 /BCl 3 から成る請求項15の方法。
  17. 集積回路を形成するための方法であって、
    任意の層、メタライゼーション層、およびTiNバリア層をその上に有する基板を準備することと、前記メタライゼーション層が前記任意の層の下に積層されており、前記TiNバリア層が前記メタライゼーション層の下に積層されており、前記任意の層は、本質的にTiNから成る第1の層、および本質的にTiから成る第2の層のうちの一方であり、
    少なくとも前記任意の層をCl 2 、不活性ガス、およびCHF 3 から成る第1化学物質でエッチングすることと、
    少なくとも前記メタライゼーション層の一部を、前記第1化学物質とは異なる第2化学物質でエッチングすることと、
    少なくとも前記TiNバリア層の一部を前記第2化学物質でエッチングすることとを特徴とする方法。
  18. 前記第2化学物質が、前記第1化学物質により達成できるエッチング速度よりも速いエッチング速度で前記メタライゼーション層をエッチングするように構成されている請求項17の方法。
  19. 前記メタライゼーション層がアルミニウム含有層である請求項17の方法。
  20. 前記任意の層が前記第1の層であり、前記第2化学物質がCl 2 /BCl 3 から成る請求項19の方法。
  21. 前記不活性ガスがアルゴンである請求項20の方法。
JP52959897A 1996-02-15 1997-02-14 半導体ウェーハをエッチングするための方法 Expired - Fee Related JP3957319B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/602,251 1996-02-15
US08/602,251 US6004884A (en) 1996-02-15 1996-02-15 Methods and apparatus for etching semiconductor wafers
PCT/US1997/002655 WO1997030472A1 (en) 1996-02-15 1997-02-14 Methods and apparatus for etching semiconductor wafers

Publications (3)

Publication Number Publication Date
JP2000504884A JP2000504884A (ja) 2000-04-18
JP2000504884A5 JP2000504884A5 (ja) 2004-11-18
JP3957319B2 true JP3957319B2 (ja) 2007-08-15

Family

ID=24410621

Family Applications (1)

Application Number Title Priority Date Filing Date
JP52959897A Expired - Fee Related JP3957319B2 (ja) 1996-02-15 1997-02-14 半導体ウェーハをエッチングするための方法

Country Status (7)

Country Link
US (1) US6004884A (ja)
EP (1) EP0880799B1 (ja)
JP (1) JP3957319B2 (ja)
KR (2) KR100491199B1 (ja)
DE (1) DE69718142T2 (ja)
TW (1) TW287304B (ja)
WO (1) WO1997030472A1 (ja)

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US5883007A (en) * 1996-12-20 1999-03-16 Lam Research Corporation Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
US5846884A (en) * 1997-06-20 1998-12-08 Siemens Aktiengesellschaft Methods for metal etching with reduced sidewall build up during integrated circuit manufacturing
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US5904569A (en) * 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
EP1034566A1 (en) 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
JP2985858B2 (ja) * 1997-12-19 1999-12-06 日本電気株式会社 エッチング方法
US6177337B1 (en) * 1998-01-06 2001-01-23 International Business Machines Corporation Method of reducing metal voids in semiconductor device interconnection
TW387098B (en) * 1999-01-11 2000-04-11 Mosel Vitelic Inc A method that can determine the quality of
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6531404B1 (en) * 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
WO2002015231A2 (en) * 2000-08-14 2002-02-21 Motorola, Inc. A method for patterning layers of semiconductor devices
JP3733021B2 (ja) * 2000-12-15 2006-01-11 シャープ株式会社 プラズマプロセス方法
TW503522B (en) * 2001-09-04 2002-09-21 Nanya Plastics Corp Method for preventing short circuit between metal conduction wires
KR100949004B1 (ko) * 2002-12-24 2010-03-23 동부일렉트로닉스 주식회사 Mim 구조의 커패시터 제조방법
US7682985B2 (en) * 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7815814B2 (en) * 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6817752B2 (ja) * 2016-09-09 2021-01-20 株式会社日立ハイテク エッチング方法およびエッチング装置
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4267012A (en) * 1979-04-30 1981-05-12 Fairchild Camera & Instrument Corp. Process for patterning metal connections on a semiconductor structure by using a tungsten-titanium etch resistant layer
US4740485A (en) * 1986-07-22 1988-04-26 Monolithic Memories, Inc. Method for forming a fuse
US4820611A (en) * 1987-04-24 1989-04-11 Advanced Micro Devices, Inc. Titanium nitride as an antireflection coating on highly reflective layers for photolithography
US4878994A (en) * 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
DE3842758A1 (de) * 1988-12-19 1990-06-21 Siemens Ag Verfahren zum aetzen einer dreilagigen verdrahtungsebene bei der herstellung integrierter halbleiterschaltungen
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4980018A (en) * 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
JP2519364B2 (ja) * 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
US5217570A (en) * 1991-01-31 1993-06-08 Sony Corporation Dry etching method
JP3502096B2 (ja) * 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5256245A (en) * 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
US5326427A (en) * 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
US5387556A (en) * 1993-02-24 1995-02-07 Applied Materials, Inc. Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2
US5443941A (en) * 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
US5286675A (en) * 1993-04-14 1994-02-15 Industrial Technology Research Institute Blanket tungsten etchback process using disposable spin-on-glass
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP2809087B2 (ja) * 1994-02-15 1998-10-08 日本電気株式会社 配線形成方法
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5620615A (en) * 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
US5496762A (en) * 1994-06-02 1996-03-05 Micron Semiconductor, Inc. Highly resistive structures for integrated circuits and method of manufacturing the same
TW290717B (en) * 1994-10-28 1996-11-11 Advanced Micro Devices Inc Method to prevent formation of defects during multilayer interconnect processing
US5609775A (en) * 1995-03-17 1997-03-11 Chartered Semiconductor Manufacturing Pte Ltd. Dry etch process for titanium-tungsten films
US5550085A (en) * 1995-09-07 1996-08-27 Winbond Electronics Corp. Method for making a buried contact
US5772906A (en) * 1996-05-30 1998-06-30 Lam Research Corporation Mechanism for uniform etching by minimizing effects of etch rate loading

Also Published As

Publication number Publication date
JP2000504884A (ja) 2000-04-18
TW287304B (en) 1996-10-01
EP0880799B1 (en) 2003-01-02
KR19990082633A (ko) 1999-11-25
WO1997030472A1 (en) 1997-08-21
KR20040021612A (ko) 2004-03-10
EP0880799A1 (en) 1998-12-02
KR100451487B1 (ko) 2004-12-08
KR100491199B1 (ko) 2005-05-25
US6004884A (en) 1999-12-21
DE69718142D1 (de) 2003-02-06
DE69718142T2 (de) 2003-08-21

Similar Documents

Publication Publication Date Title
JP3957319B2 (ja) 半導体ウェーハをエッチングするための方法
US6090717A (en) High density plasma etching of metallization layer using chlorine and nitrogen
US5827437A (en) Multi-step metallization etch
US5883007A (en) Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
US5772906A (en) Mechanism for uniform etching by minimizing effects of etch rate loading
US5980768A (en) Methods and apparatus for removing photoresist mask defects in a plasma reactor
WO1999033097A1 (en) Improved techniques for etching an oxide layer
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
US6410451B2 (en) Techniques for improving etching in a plasma processing chamber
US5952244A (en) Methods for reducing etch rate loading while etching through a titanium nitride anti-reflective layer and an aluminum-based metallization layer
US5846443A (en) Methods and apparatus for etching semiconductor wafers and layers thereof
US6017825A (en) Etch rate loading improvement
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
JP2882284B2 (ja) 導電層形成法
JP3358179B2 (ja) ポリシリコン層のプラズマ・エッチング方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060620

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070417

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070508

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120518

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees