KR100451487B1 - 반도체웨이퍼에칭방법 - Google Patents

반도체웨이퍼에칭방법 Download PDF

Info

Publication number
KR100451487B1
KR100451487B1 KR10-1998-0706372A KR19980706372A KR100451487B1 KR 100451487 B1 KR100451487 B1 KR 100451487B1 KR 19980706372 A KR19980706372 A KR 19980706372A KR 100451487 B1 KR100451487 B1 KR 100451487B1
Authority
KR
South Korea
Prior art keywords
layer
chemical
etching
tin
etch
Prior art date
Application number
KR10-1998-0706372A
Other languages
English (en)
Other versions
KR19990082633A (ko
Inventor
수잔 씨. 아브라함
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR19990082633A publication Critical patent/KR19990082633A/ko
Application granted granted Critical
Publication of KR100451487B1 publication Critical patent/KR100451487B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/53After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone involving the removal of at least part of the materials of the treated article, e.g. etching, drying of hardened concrete
    • C04B41/5338Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Structural Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

플라스마 공정 챔버(plasma processing chamber)에서 웨이퍼 층상구조의 TiN 층(TiN layer)를 에칭하는 방법이 공개된다. 본 발명은 제 1 화학물질을 이용하여 TiN 층(TiN layer)을 통하여 에칭하는 단계를 포함하며, 제 1 화학물질은 TiN 에칭제, 비활성기체 및 폴리머-형성 화학물질을 포함하는 것을 선호한다. 하나의 실시예에서, TiN 에칭제는 Cl2이고, 비활성기체는 아르곤이고, 폴리머-형성 화학물질은 CHF3이다.

Description

반도체 웨이퍼 에칭 방법
반도체 집적 회로 제조에서, 트랜지스터와 같은 소자(素子)는, 일반적으로 실리콘으로 만들어진 반도체 웨이퍼 또는 반도체 기판에서 형성된다. 그 다음에 웨이퍼 상(上)에 설치된 금속화층(metallization layer)에서 에칭된 금속 연결선(metallic interconnect line)은, 요구되어지는 회로를 형성하도록 소자(素子)를 서로 결합하는데 이용된다. 논의(論議)의 편의성을 위하여, 도 1 은, 일반적인 반도체 집적 회로의 제조 중(中)에 형성된 층(layer)를 대표하는 층상구조(20)(layer stack)의 단면도를 설명한다. 보여지는 층 상(上), 층 하(下), 층 간(間) 등에서 추가의 다른 층를 제시할 수 있다. 더욱이, 보여지는 모든 층을 제시할 필요는 없고, 그 중(中)에서 일부 또는 모두는 다른 차이가 있는 층에 의하여 대치될 수 있다.
층상구조(20)의 밑 부분에서 웨이퍼(100)(wafer)가 보여진다. 일반적으로SiO2를 포함하는 산화물층(102)(oxide layer)는 웨이퍼 상(上)에서 형성된다. Ti, TiW, TiN 또는 다른 적합한 장벽물질(barrier material) 등과 같은 티타늄을 포함하는 층(titanium-containing layer)로 일반적으로 형성된 장벽층(104)(barrier layer)는, 산화물층(102)과 나중에 설치될 금속화층(106)(metallization layer) 등의 사이에서 만들어진다. 장벽층(104)이 공급될 때, 이 층(104)은 산화물층(102)에서 금속화층로 실리콘 원자의 확산을 방해하는 작용을 한다.
일반적으로 금속화층(106)(metallization layer)는 Al-Cu, Al-Si, 또는 Al-Cu-Si 등과 같은 공지된 알루미늄 합금 중(中)의 하나, 또는 구리, 알루미늄 등을 포함한다. 그 다음에 도 1 의 나머지 두 개의 층(layer), 즉 반사방지코팅 층(108)(anti-reflective coating layer, ARC layer)과 그 위에 포개어지는 포토레지스트층(110)(photoresist layer) 등은, 금속화층(106)의 위쪽에 형성된다. 일반적으로 TiN, TiW 등과 같은 또 하나의 티타늄이 있는 층을 포함하는 반사방지코팅층(108)(ARC layer)는, 금속화층(106)의 표면에서 (다시 말하면, 포토레지스트를 모형(模型)화하는 리소그래피 단계(lithography step)에서) 광(光)이 반사되고 흩어지는 것을 막는데 도움이 되고, 어떤 경우에 힐락 성장(hillock growth)을 막을 수 있다. 포토레지스트층(110)은, 에칭하기 위하여, 예를 들면 자외선 노출에 통하여 모형(模型)화 될 수 있는 종래의 포토레지스트 물질의 층을 대표한다. 층상구조(20)의 층은 당해 기술 종사 업자에게 쉽게 인식될 수 있고, 화학적 증기 증착(chemical vapour deposition, CVD), 플라즈마-확장형 화학 증기 증착(plasma-enhanced chemical vapour deposition, PECVD), 그리고 스퍼터링(sputtering)과 같은 물리적 증기 증착(physical vapour deposition, PVD) 등을 포함하는, 다수의 적합하고 공지된 증착 과정(deposition process)을 이용하여 형성될 수 있다.
상기에서 기술(記述)된 금속 연결선(metallic interconnect line)을 형성할 목적으로, 금속화층 예를 들면 금속화층(106)를 포함하는 층상구조의 일부 층(layer)은 적합한 포토레지스트 기술을 이용하면서 에칭된다. 예로써, 접촉 또는 스테퍼 리소그래피 시스템(stepper lithography system) 등으로 포토레지스트 물질을 노출시키는 것에 의하여, 그리고 계속해서 일어나는 에칭을 용이하게 할 목적으로 마스크(mask)를 형성하는 포토레지스트 물질의 성장에 의하여, 상기 하나의 포토레지스트 기술은 포토레지스트층(110)의 모형화을 포함한다. 적합한 에칭제(etchant)을 사용하여 그 다음에 마스크에 의하여 보호되지 않는 금속화층의 영역은 에칭되지 않으며, 금속 증착 연결선과 금속 증착 연결 특징 등이 남는다.
설명할 목적으로, 종래의 에칭이 완성된 후(後)에, 도 2 는 도 1 에서 층상구조(20)(layer stack)의 단면도를 보여준다. 상기 예에서, 금속 연결선은 금속화층(106)의 에칭되지 않은 부분에 의하여 대표된다.
회로의 높은 밀도를 이루기 위하여, 현대의 집적 회로는 더 좁아지게 증가하는 설계 규칙으로 줄어든다. 결과로써, 특징 크기, 즉 연결선의 너비 또는 근접한 연결선 등의 사이에서 공간(예를 들면 트렌치(도랑, trench)) 등은 꾸준하게 준다. 예로써, 약 0,8 마이크론(㎛)의 선 너비가 4 메가비트의 DRAM 집적 회로에서 수용되는 것이 고려되는가 하면, 256 메가비트의 DRAM 집적 회로는 0.25 마이크론(㎛)만큼 또는 심지어는 더 얇은 연결선을 이용하는 것이 선호된다.
특징 크기가 줄어들기 때문에, 웨이퍼를 걸쳐서 균일한 에칭 속도(uniform etch rate)를 달성하는 것은 점점 어려워진다. 일반적으로, 좁은 공간에서 에칭 속도는 더 넓고 열린 필드 영역에서 에칭 속도보다 더 느리다. 에칭 속도(etch rate)에서 부하(loading)라고 일컬어지는 상기 현상은, 마이크로-부하(micro- loading)와 에스펙트비 의존 에칭(aspect ratio dependent etching, ARDE) 등의 결과일 수 있다. 마이크로-부하는, 덜 빽빽한 영역에 위치한 동일한 크기의 트렌치(도랑)에서의 에칭 속도에 대하여 높은 밀도의 선 공간(line spacing)이 있는 영역에서 에칭 속도가 더 작은 상태에 대하여 주로 언급한다. 다른 양상에 대하여, 에스펙트비 의존 에칭(ARDE)은, 비슷한 트렌치 밀도의 영역에 위치한 트렌치 사이에서, 그리고 다른 에스펙트비를 가지는 트렌치 사이에서 에칭 속도의 다양한 상태에 대하여 주로 언급한다. 에칭 속도의 부하 때문에, 트렌치가 층상구조에서 다른 속도로 형성된다. 트렌치 너비가 약 0.5 마이크론으로 떨어질 때, 특히 약 0.35 마이크론으로 떨어질 때, 에칭 속도의 부하는 더 심각해진다. 에칭 속도의 다양함의 결과로써, 시간에 의하여 금속 에칭은 느린 에칭 속도를 가지는 영역에서 (예를 들면, 더 좁은 선 공간에서) 완성될 수 있고, 밑에 놓여 있는 층에서 부주의한 금속의 제거인 오버-에칭(overetching)은 더 높은 에칭 속도를 가지는 영역에서 (예를 들면, 열린 필드 영역에서) 이미 일어날 수 있다.
도 2 에 관하여, 영역(120)은 금속화층이 (거리 d1 에 의하여) 오버-에칭(overetching)되는 열린 필드 영역을 대표하고, 영역(122)은 금속화층이(거리 d2 에 의하여) 언더-에칭(underetching)되는 언더-에칭된 영역을 대표한다. 에칭 속도의 다양함이 충분히 클 때, 열린 필드 영역에서 밑에 놓인 층에 심한 해(害)가 일어나기 전에, 더 좁은 공간에서 어떤 기하학 구조에 대하여 목적이 되는 층 예를 들면 금속 층을 통하여 에칭하는 것은 불가능하다. 예를 들면, 큰 에칭 속도 다양함은 영역(120)에서 심한 오버-에칭과 지나친 산화물 손실을 일으킬 수 있으며, 집적 회로 제조에서 이용에 대한 적합하지 않은 처리를 한 웨이퍼를 만들 수 있다.
일반적으로 종래의 기술에서 TiN 반사방지코팅층, 알루미늄 금속화층 및 TiN 장벽층 등은, 단 하나의 화학물질, 예를 들면 Cl2/BCl3를 이용하면서 에칭된다. 일반적으로 Cl2/BCl3는, TiN 층을 통하여 에칭되는 것보다 알루미늄 금속화층을 통하여 더 빠른 속도로 에칭된다. 예로써, 알루미늄을 통한 Cl2/BCl3의 에칭 속도는 약 9000 옹스트롬/분(分)이지만, TiN 을 통한 Cl2/BCl3의 에칭 속도는 단지 약 2500 에서 3000 옹스트롬/분(分)이다. 더 느린 TiN 에칭 속도는 전체적인 웨이퍼 처리량을 감소시키는 불리함이 있는데, 즉 주어진 단위 시간에 더 적은 웨이퍼를 처리한다. 더욱이, 보호되는 포토레지스트층은 더 긴 시간 동안 에칭 처리에 노출되기 때문에, 더 느린 TiN 에칭 속도는 포토레지스트 선택도(photoresist selectivity)를 줄인다.
상기에서 보았듯이, 예를 들면 집적 회로 제조 중에 TiN 반사방지코팅층 또는 TiN 장벽층 등과 같은 티타늄이 있는 층을 통하여 에칭하기 위한 개선된 방법과장치 등이 요구된다.
본 발명은 반도체 집적회로(IC's)의 제조에 관한 것이다. 보다 더 상세하게 본 발명은 집적 회로 제조 중(中)에, 티타늄을 가지는 층(titanium-containing layer)를 포함하는 집적회로 층상구조(IC's layer stack)를 통하여 에칭하기 위한 방법과 장치에 관한 것이다.
도 1 은, 일반적인 반도체 집적 회로의 제조 중(中)에 형성된 층을 대표하면서, 층상구조의 단면도를 설명한다.
도 2 는, 종래의 에칭을 완성한 후에 도 1 의 층상구조의 단면도를 설명한다.
도 3 은, 발명된 에칭 과정을 이용하기 위한 적합한 플라즈마 처리 시스템을 대표하면서, TCPTM9600 SE 리액터의 간결한 도면을 설명한다.
도 4 는, 본 발명의 하나의 실시예에 따라서, TiN 반사방지코팅층을 통하여 에칭하는데 발명된 제 1 화학물질을 이용하는 발명된 에칭 기술(inventive etching technique)을 보여준다.
도 5 는, 본 발명의 또 하나의 실시예에 따라서, TiN 장벽층을 통하여 에칭하는데 발명된 제 1 화학물질을 이용하는 발명된 에칭 기술(inventive etching technique)을 보여준다.
도 6 은, 본 발명의 덧붙여진 또 하나의 실시예에 따라서, TiN 반사방지코팅층과 TiN 장벽층 등을 통하여 에칭하는데 발명된 제 1 화학물질(inventive etching technique)을 이용하는 발명된 에칭 기술을 보여준다.
*참조 부호 설명
20 : 층상구조(layer stack)
100 : 웨이퍼(wafer)
102 : 산화물층(oxide layer)
104 : 장벽층(barrier layer)
106 : 금속화층(metallization layer)
108 : 반사방지코팅층(anti-reflective coating layer, ARC layer)
110 : 포토레지스트층(photoresist layer)
300 : 웨이퍼 리액터
302 : 플라즈마 공정 챔버(plasma processing chamber)
305, 320 : RF 발생기(무선 주파수 발생기)
350 : 웨이퍼(wafer)
352 : 집적회로 칩
하나의 실시예에서 본 발명은 플라즈마 공정 챔버(plasma processing chamber)에서 웨이퍼 층상구조의 TiN 층을 에칭하기 방법에 관한 것이다. 방법은 제 1 화학물질을 이용하여 TiN 층을 통하여 적어도 부분적으로 에칭하는 단계를 포함하며, 제 1 화학물질은 TiN 에칭제, 비활성기체 및 폴리머-형성 화학물질 등을 포함하는 것을 선호한다.
또 하나의 실시예에서 본 발명은 플라스마 공정 챔버(plasma processing chamber)에서 웨이퍼 층상구조의 층 밖에서 형성되는 요소를 가지는 집적 회로에 관한 것이다. 웨이퍼 층상구조는 TiN 층을 포함하고, 집적 회로는 제 1 화학물질을 애스펙트비를 이용하여 TiN 층을 통하여 적어도 부분적으로 에칭하는 것에 의하여 형성되며, 제 1 화학물질은 TiN 에칭제, 비활성기체 및 폴리머-형성 화학물질 등을 포함한다.
추가하는 또 하나의 실시예에서 본 발명은 플라즈마 공정 챔버(plasma processing chamber)에서 웨이퍼 층상구조에서 층의 선택적 부분을 통하여 에칭하는 방법에 관한 것이다. 웨이퍼 층상구조는 TiN 반사방지코팅층(ARC layer), 금속화층 및 TiN 장벽층 등을 포함한다. 방법은 제 1 화학물질로 웨이퍼 층상구조의 TiN 반사방지코팅층을 통하여 적어도 부분적으로 에칭하는 단계를 포함하며, 제 1 화학물질은 TiN 에칭제, 비활성기체 및 폴리머-형성 화학물질 등을 포함한다. 방법은 덧붙여서 금속 증착 에칭제를 이용하여 금속화층을 통하여 적어도 부분적으로에칭하는 단계를 포함한다. 추가적으로 제 1 화학물질을 이용하여 TiN 장벽층을 통하여 적어도 부분적으로 에칭하는 단계를 포함한다.
선호되는 실시예에서, 상기에서 기술(記述)된 실시예에서 제 1 화학물질은 Cl2/Ar/CHF3이다.
본 발명의 상기 이점(利點)과 다른 이점(利點)은 다음의 상세한 기술(記述)을 읽고, 도면의 다양한 그림을 연구하여 분명해질 것이다.
본 발명은 IC 제작중 TiN ARC층 또는 TiN 장벽층과 같은 티타늄 포함층을 통해 개선된 에칭(etching)을 하는 것에 대하여 설명된다. 다음의 설명에서는 본 발명에 대한 상세한 이해를 제공하기 위해 다수의 특정 세부사항이 기재된다. 그러나, 물론 본 발명은 다음의 모든 세부사항 또는 몇몇 세부사항이 없더라도 실시될 수 있는 것이기도 하다.
본 발명의 하나의 특징에 따라, 상기 설명된 에칭 속도 부하 문제(etch rate loading problem)는 두 상이한 화학물질로 두 단계에서 상기 층 더미를 에칭하므로써 경감된다. 상기 첫 번째 화학물질 에칭 단계는 가령 도 1 의 ARC층(108)과 같은 TiN ARC층을 통해 진행되는 것이 바람직하다. 상기 언급된 TiN ARC층을 에칭하기 위해 사용된 주요 TiN 에칭에 가스에 추가하여 비활성기체 및 폴리머-형성가스를 포함하는 것이 바람직하다. 다음에 상기 층 더미는 상기 첫 번째 화학물질과는 다를 수 있는 두 번째 화학물질로 다시 에칭된다. 이같은 두 번째 화학물질 에칭 단계는 적어도 부분적으로는 도1의 층 (106)과 같은 금속화층을 통해 진행되도록 허용되는 것이 바람직하다. 상기 TiN 장벽층은 다음 상기 언급한 두 번째 화학물질 또는 바람직하게는 상기 언급한 첫 번째 화학물질 어느 하나를 사용하여 에칭될 수 있다.
본 발명의 에칭 거리는 드라이 에칭, 플라즈마 에칭, 반응 이온 에칭(RIE), 자기 증진 반응 이온에칭(MERIE) 등에 적용되는 것을 포함하는 공지의 플라즈마 처리 장치 어느 것으로도 실행될 수 있다. 더욱 구체적으로 설명하기 위해, 드라이 에칭용으로 적용되는 전형적인 플라즈마 공정 챔버에서, 웨이퍼가 플라즈마 처리된다. 상기 챔버는 한 유입구 포트를 포함하며 상기의 포트를 통해 처리 에칭제 소스 기체가 챔버 내부로 공급된다. 가령 RF에너지 소스와 같은 적절한 RF에너지 소스가 플라즈마를 발생시키기 위해 상기 챔버에 연결된 전극들로 적용된다. 상기의 에너지 자체는 공지된 바와 같이 플라즈마를 유지시키기 위해 유도성 또는 용량성을 갖도록 결합된다. 다음에 웨이퍼와 반응시키고 웨이퍼 층 더미의 플라즈마-접촉 층에서 에칭을 만들기 위해 여러 종등이 상기 에칭 소스로부터 형성된다.
휘발성일 수 있는 부산물들은 출구 포트를 통해서 소모되게 된다.
플라즈마 에칭은 웨이퍼 처리중에 상기 웨이퍼가 양극 또는 접지 전극의 어느 곳에 위치하는 가에 대한 상태와 관련하는 것이다. 반면에, 반응 이온 에칭(RIE)은 웨이퍼가 상기 처리중에 음극 또는 전원이 가해진 전극의 어느 곳에 위치하는 가에 대한 상황에 대한 것이다. 자기적으로 증진된 반응 이온 에칭(MERIE)은 RIE 리액터 기하학적 구조에 대한 한 변환을 나타내는 것이며, 이때 자장이 활성 전자의 손실을 줄이기 위해 상기 리액터 벽 표면으로 적용된다. 일정 조건하에서 MERIE 반응기는 상기 전극들로부터 상기 플라즈마내 전자들로 에너지 전달의 효율을 증가시킬 수 있는 것으로 밝혀졌다. 본 발명은 상기 리액터 중 어느 하나나 다른 적절한 플라즈마 처리 리액터로도 실시 될 수 있다. 상기의 실시는 플라즈마로 가해지는 에너지가 용량성 결합 병렬 전극 플레이트, 전자 사이클로 트론 공진(ECR) 마이크로웨이브 플라즈마 소스, 핼리콘, 나선형 공지기 그리고 변환기 결합 플라즈마와 같은 유도성 결합 RF소스 어느 것을 통해 전달되든지 관계없이 가능하다. ECR 및 TCP 플라즈마 처리 시스템은 캘리포니아, 프레몽트(Fremont)소재의 Lam Research Corporation으로부터 구입될 수 있다.
한 바람직한 실시예에서, 본 발명은 Lam Research Corporation으로 부터 구입될 수 있는 TCPTM9600 SE 플라즈마 리액터에서 사용될 수 있다. 상기에서 언급한 바와 같이 종래의 다른 적절한 플라즈마 처리 시스템이 사용될 수 있기도 하다. 도 3 은 웨이퍼가 본 발명 에칭 기술에 따라 에칭되고 종래의 에칭 후 단계에서 처리된 후에 웨이퍼(350)로부터 절단된 다이(dies)로부터 제작된 집적회로 칩(352)과 웨이퍼(350)를 포함하여 TCPTM9600 SE 플라즈마 리액터를 도식적으로 도시한 것이다. 도 3에서, 웨이퍼 리액터(300)는 한 플라즈마 처리 챔버(302)를 포함한다. 상기 챔버(302)위에는 도 1 의 실시예에서 코일에 의해 실시되는 전극(303)이 배치된다. 코일(303)은 도 3에서는 도시되지 않은 정합 회로망을 통해 RF발생기(305)에 의해 에너지가 공급된다.
챔버(302)내에는 가령 에칭제 소스 가스와 같은 가스상태의 소스 물질은 자신과 웨이퍼(350)사이의 RF-유도 플라즈마 영역내로 방출시키기 위해 다수의 구멍을 포함한다. 상기의 가스 상태 소스 물질은 또한 챔버 자체의 벽내에 만들어진 포트로부터 방출될 수 있기도 하다. 웨이퍼(350)가 챔버내로 안내되며 쳐크(chuck)(310)위에 배치되고, 상기 체크는 두 번째 전극으로서 작용하며 무선 주파수 발생기(320)(통상 한 정합회로망을 통해)에 의해 바이어스(bias)되는 것이 바람직하다. 헬륨 냉각 가스가 가압(한 실시예에서 약 5-10 Torr) 하에 상기 쳐크(310)와 웨이퍼(350)사이로 안내되어 균일하고 반복가능한 에칭 결과를 확보하기 위해 처리중에 웨이퍼의 온도를 정확하게 제어하기 위해 열 전달 매체로서 작용하도록 한다. 플라즈마 에칭중에, 챔버(302)내의 압력은 한 실시예에서 약 8-22mTorr로 낮게 유지되는 것이 바람직하다. 다수의 가열기(도 1 에서는 생략됨)가 제공되어 에칭을 위한 적절한 챔버 온도(한 실시예에서 약70℃)를 유지하도록 제공될 수 있다. 접지로의 경로를 제공하기 위해, 챔버(302)의 벽이 접지된다.
본 발명의 한 실시예에 따라, 웨이퍼 더미의 티타늄 포함의 코팅층이 첫 번째 화학물질로 에칭된다. 본 실시예에서는 상기 반사방지코팅층이 첫 번째 화학물질로 에칭을 받게되는 층을 나타내지 만 어떤 웨이퍼 더미 구조에서는 가령 접착층, 씨드(seed)층 등과 같은 금속화-중첩층상에서 실행될 수 있기도 하다. 상기 금속화-중첩층(metalization-overlaying layer)라함은 상기 금속화 층위에 한 층이 배치되는 것을 의미하는 것이다. 이같은 중첩층은 TiN 또는 주로 티타늄인 재료로 형성된다.
본 발명에 따라, 상기 첫 번째 화학물질로 에칭하는 것은 상기 반사방지코팅층이 완전히 코팅됨이 결정되는 때 종료한다. 한 실시예에서, 상기 반사방지코팅층은 TiN으로 형성되며, 모든 TiN 반사방지코팅층이 완전히 제거되었음을 나타내는, 플라즈마내 703nm 파장이 길어짐을 광학적으로 모니터하므로써 엔드포인트 탐지가 달성된다.
다음에 에칭이 즉시 종료된다. 이같은 첫 번째 화학물질 에칭단계의 종료 포인트를 결정하기 위한 다른 통상의 방법이 사용될 수 있기도 하다.
본 발명의 한 특징에 따라, TiN 반사방지코팅층 에칭을 위한 첫 번째 화학물질은 세가지의 각기 다른 가스들로 구성되는데, 이들은 TiN 에칭제 가스, 비활성기체, 그리고 폴리머-형성 가스이다. 더욱 바람직하게는, 상기 TiN 에칭제 가스가 염소(Cl2)이고, 상기 비활성기체는 아르곤, 크세논, 크립톤 그룹중 어느 하나이다. TiN 반사방지코팅층 에칭을 위한 첫 번째 화학물질이 Cl2/Ar/CHF3이다.
다음에, 상기 층 더미의 나머지는 적어도 부분적으로는 상기 금속화 층을 통해 두 번째 화학물질로 에칭된다. 가령, 상기 두 번째 화학물질이 대부분의 금속화 층을 통해 에칭하도록 사용될 수 도 있으며 그 아래의 상기 장벽층은 다시 첫 번째 화학물질로 에칭될 수 있기도 하다. 만약 가령 알루미늄 금속화 층을 통해 폴리머 발생 가스가 벌크(bulk)에칭을 위해 사용된다면, 마이크로마스킹으로 인해 잔류물을 발생시킬 것이며 광저항 선택도를 낮출 수도 있을 것이므로 상기 두 번째 화학물질은 상기 첫 번째 화학물질과는 다른 것이 바람직하다. 상기의 두 번째 화학물질과는 상이한 신규한 화학물질의 사용(ARC 층을 통해 에칭하기 위해)은 본 발명의 명백한 장점들을 가져다준다. 이는 상기 에칭이 TiN ARC층과 금속화층 모두를 통해 에칭하기 위해 단 한가지의 화학물질(대개 Cl2/BCl3)로 수행되는 종래 기술과는 확실하게 대비되는 것이라 할 것이다.
본 발명의 접근 방법은 종래기술의 두-화학물질 접근 방법과는 명백히 상이한 것이다. 종래 기술에서는, 티타늄 니트라이트(TiN)가 ARC층 재료로서 사용되는 때가 아니라 티타늄, 텅스텐(TiW)이 ARC층 재료로서 사용되는 때 두-화학물질 접근방법이 사용된다. 더욱 상세히 설명하면, 종래기술의 접근 방법은 TiW ARC화학물질을 에칭하기 위해 첫 번째 화학물질 SF6를 사용한다. 다음에 남아있는 층들이 SF6가알루미늄 웰(well)을 에칭하지 않으므로 가령 Cl2/BCl2와 같은 또다른 화학물질로 벌크에칭된다. 여기서 추측해야 할 것은 SF6은 종래기술에서 에칭 속도 부하를 줄이기 위해서가 아니라 TiW 재료를 에칭하는 능력을 갖는 것으로서 첫 번째 화학물질로 사용된다는 것이다. 또한 상기 종래 기술 접근 방법은 SF6가 상기 첫 번째 화학물질내에서 에칭제와 폴리머-형성 가스 모두로서 작용하기 때문에 상기 에칭제 화학물질로 폴리머-형성가스를 추가시킴을 포함하지 않는다.
SF6은 또는 광저항물질에 대한 높은 에칭 속도를 가진다. 결과적으로 TiW가 SF6에칭제로부터 하기의 특징으로 적절히 보호시키기 위해 ARC층 재료인데 더욱더 두꺼운 포토레지스트층을 사용하는 것이 필요하다.
그러나 TiN이 ARC층을 위한 재료로서 사용되는데, TiN ARC층 에칭과 벌크 에칭 모두를 위해 가령 Cl2/BCl3와 같은 단일 화학물질을 사용하는 것이 종래기술에서의 접근 방법이었다. 이는 가령 Cl2와 같은 TiN 에칭제가 SF6와 관련된 광저항 선택도 문제로 어려움을 갖지 않기 때문인데, 즉 Cl2가 SF3와 비교할 때 포토레지스트(photoresist)로의 높은 에칭속도를 갖지 않는다.
상기 첫 번째 화학물질에서 폴리머-형성가스를 사용함은 본 발명의 명백한 특징으로 설명하는 것인데, 이는 폴리머-형성가스가 더욱더 빠른 속도로 포토레지스트를 에칭하기 때문이며, 즉 상기 폴리머-형성가스를 에칭 화학물질로 추가시킴이 포토레지스트 선택도를 줄이기 때문이다. 다음에 첫 번째 화학물질에서 폴리머-형성 가스를 사용함은 포토레지스트 선택도를 증가시키고자 하는 종래의 접근 방법과는 다른 것이다. 본 발명의 첫 번째 화학물질이 에칭제 화학물질에 추가하여 폴리머-형성 가스를 포함하며 얇은 중첩층을 통해 또는 상기 장벽층을 통해 가령 TiN ARC층 또는 TiN 장벽층을 에칭시키기 위해 단지 짧은 시간동안 적절한 양으로 사용되기 때문에, 상기 결과의 자료는 어떠한 유해한 결과 나타내지 않는다. 그러나, 상기 폴리머 발생 가스의 체적은 가령 Cl2흐름의 약50% 또는 그 이상과 같이 보호 포토레지스트층에 부적합하게 손상을 주며 과도한 패시베이션(passivation) 용착을 발생기키고 그리고 에칭속도 부하를 역류시킬 정도로 그렇게 커서는 아니 된다.
본 발명의 한 특징에 따라, 에칭 속도 부하(또는 로딩(loading))는 에칭 시작시에 각기 다른 화학물질을 사용하므로써 줄어들 수 있으며(가령, ARC 층과 같은 금속화층을 덮히는 층상에서) 이같은 시작단계에서 에칭 속도 부하 문제를 해결하도록 한다. 또다른 세부사항에 대하여서는 동시 계류중인 "에칭 속도 부하를 줄이기 위한 방법 및 장치"를 참고로 할 수 있다.
종래 기술의 한-화학물질 Cl2/BCl3에칭에서, 6-인치 웨이퍼 및 0.35 마이크로 기하학구조에 대한 에칭 속도부하가 약 25%-30%인 것으로 밝혀졌으며, 개방 필드 영역에서의 에칭 속도는 0.35 마이크론 간격에서 보다 빠른 약 25%-30%이다. 유사한 처리 파라미터하에서, TiN ARC층을 에칭하기 위한 첫 번째 화학물질로서 Cl2/Ar/CHF3를 사용하는 에칭 처리에 대하여 달성된 에칭 속도 부하는 웨이퍼 중앙과 웨이퍼 가장자리 각각에서 약 3%와 약 2%인 것으로 밝혀졌다.
상기와 같이 줄어든 에칭 속도 부하로 인해, 금속층이 좁은 간격에서 관통 에칭될 수 있도록 하기 위해 상기 에칭 시간이 연장되어야 할 필요가 없다. 상기의 더욱더 짧은 에칭시간은 벌크 에칭중에 보호하는 포토레지스트 마스크 영역에 덜 손상을 주게 되며, 이에 의해 에칭중 근원적인 특징을 보호하기 위해 상기 포토레지스트 마스크 영역의 능력을 개선시킨다.
TiN ARC층을 통해 에칭하기 위해 상기 첫 번째 화학물질로서 Cl2/Ar/CHF3를 사용함은 개선된 웨이퍼 처리량을 발생시킨다. 이는 종래기술의 Cl2/BCl3화학물질에서 보다 높은 속도로 TiN을 통해 독창적인 Cl2/Ar/CHF3화학물질이 에칭되기 때문이다. 가령 종래기술의 Cl2/BCl3화학물질이 약 2,500-3,000 옹스트롬/분의 TiN 에칭 속도를 갖는다면, 본 발명의 독창적인 Cl2/Ar/CHF3는 10,000옹스트롬/분 이상으로 TiN층을 에칭시킨다. TiN ARC층 에칭속도가 개선되는 때, 웨이퍼 처리량은 증가한다.
본 발명의 독창적인 첫 번째 화학물질(Cl2/Ar/CHF3)이 TiN ARC층을 통해 에칭되도록 사용되는 때는 더욱 적은 인터페이스 노칭(notching)이 발생되는 것으로 밝혀졌다. 상기 인터페이스 노칭은 종래기술의 Cl2/BCl3화학물질이 ARC층과 금속화 층 모두를 에칭하도록 사용되는 때 두층사이의 인터페이스에서 때때로 관찰되는 노치를 말하는 것이다. 인터페이스 노칭이 바람직한 수직 에칭 측벽으로부터 벗어나기 때문에 가령 Cl2/Ar/CHF3와 같이 본 발명의 첫 번째 화학물질 사용을 통해 제거하므로써 본 발명의 장점을 제공하게 된다.
다음에, 상기의 벌크 에칭은 남아있는 층들을 에칭하기 위해 통상의 두 번째 화학물질을 사용하여 처리될 수 있다. 상기 두 번째 화학물질 자체는 상기 금속화 층을 에칭하기 위해 적절한 에칭제와 충격 화학물질을 포함한다. 한 실시예에서, 상기 두 번째 화학물질은 Cl2/BCl3, Cl2/BCl3/CHF3, Cl2/BCl3/CHF3, Cl2/BCl3/HCl, Cl2/BCl3/N2, 및 Cl2/N2의 그룹중 하나이다. 본 발명의 실시예에서, 70:20:10(sccm으로)의 흐름 체적 속도를 갖는 Cl2/BCl3/CHF3의 혼합물이 약 0.5%-1%의 구리를 갖는 알루미늄 합금으로 구성된 금속화 층을 에칭하는데 적합한 것으로 밝혀졌다.
두 번째 화학물질로 에칭하는 것은 상기 금속화 층이 적어도 부분적으로 에칭되는때 종료될 수 있다. 이 경우에, 또다른 화학물질이 상기 금속화 층의 나머지를 에칭하도록 사용될 수 있다. 상기 두 번째 화학물질로 에칭하는 것은 상기 금속화 층이 언제 완전히 에칭되는가가 결정되는 때 종료한다. 한 실시예에서, 알루미늄 또는 그 합금 중 하나로 형성되며, 플라즈마내 261㎚ 광파장의 상승을 광학적으로 모니터하므로써 달성되는데, 이는 모든 알루미늄 금속화층이 제거되었음을 나타내는 것이다. 다음에 상기 두 번째 화학물질 에칭은 즉시 종료될 수 있으며, 혹은 상기 금속화층 재료의 완전한 제거를 보장하기 위해 가령 추가의 몇초와 같은 일정한 시간동안 계속되어질 수 있다.
한 실시예에서, 상기 두 번째 화학물질 에칭은 상기 장벽층을 통해 에칭되도록 된다. 그러나, 또다른 실시예에서, 상기 설명한 첫 번째 화학물질은 상기 장벽층을 통해 다시 에칭되도록 사용될 수 있다. 가령, 상기 언급한 Cl2/Ar/CHF3는 벌크 에칭 단계가 종료된 뒤에 TiN 장벽층을 통해 에칭되도록 사용될 수 있다.
상기 티타늄 포함의 장벽층을 통해 에칭하기 위해 본 발명의 첫 번째 화학물질을 사용함은 여러 가지 중요한 장점을 발생시킨다. 가령 Cl3/Ar/CHF3가 TiN 장벽 층을 통해 에칭하도록 사용되는때 (통상의 벌크에칭 단계 이후에), 높은 TiN 에칭 속도뿐아니라 Cl2/Ar/CHF3의 높은 TiN-알루미늄 선택도(가령 일정 경우 약 4.6:1 까지)는 알루미늄 측면 벽을 덜 잘라내도록 하는 결과를 발생시킨다. 이와는 대조로, 종래기술의 Cl2/BCl3는 느린 TiN 에칭 속도와 알루미늄에 대한 낮은 선택도의 단점을 갖는다. 이같은 종래기술 Cl2/BCl3의 단점 특징은 에칭후 수직 알루미늄 프로파일이 적은 단점을 갖는다.
본 발명의 첫 번째 화학물질, 가령 Cl2/Ar/CHF3의 더욱더 빠른 TiN 에칭 속도는 결국 상기 장벽층을 통해 에칭되도록 사용되는때 더욱더 개선된 웨이퍼 처리량 속도를 발생시키기도 한다. 또한 상기 TiN 장벽층을 통해 에칭시키기 위해 Cl2/Ar/CHF3을 사용하면 상기 장벽 풋트(foot)를 줄이게 된다. 상기에서 장벽층 풋트는 에칭후 상기 알루미늄 특징의 풋트에서 상기 장벽층내 계단과도 같은 구조가 존재함을 나타내는 것이다. 장벽층 풋트는 때때로 좁은 공간에서 상기의 알루미늄 금속화층과 TiN 장벽층 모두를 통해 Cl2/BCl3가 에칭되도록 사용되는때 관찰된다.
장벽층 풋트는 본 발명의 독창적인 Cl2/Ar/CHF3첫 번째 화학물질의 TiN 에칭 속도 (약 1분당 10,000 옹스트롬)가 종래기술의 TiN 에칭제 TiN 에칭속도(Cl2/BCL3을 사용하여 약 2,500-3,000 옹스트롬/분)에서보다도 알루미늄 에칭속도(Cl2/BCL3을 사용하여 약 9,000 옹스트롬/분)에 더욱더 부합하기 때문에 본 발명의 독창적인 에칭 기술에 의해 완전히 제거되는 것으로 판단된다. 한 장벽층 풋트는 수직의 에칭 측면벽과 트렌치 저부 평탄의 이탈을 나타내므로, 본 발명의 독창적인 Cl2/Ar/CHF3의 사용을 통해 완전히 제거시키므로써 본 발명의 장점을 가져오도록 한다.
또한, 본 발명의 독창적인 Cl2/Ar/CHF3화학물질을 사용하므로써 상기의 장벽층 에칭중에 산화물 손실이 적어지게 된다. Cl2/Ar/CHF3는 약 5:1 의 TiN-산화물 선택도를 갖는데, 이는 산화물을 통해 에칭되는 것보다 TiN을 통해 에칭되는 것이 5배나 빠름을 말하는 것이다. 이와는 대조적으로 Cl2/BCL3와 같은 종래의 화학물질은 약 1.5:1 또는 2:1 의 TiN 대 산화물 선택도를 갖는다. 더욱더 높은 TiN대 산화물 선택도는 상기 장벽 에칭 단계가 모든 장벽층재가 좁은 공간에서조차 확실히 제거될 수 있도록 확장되는때 넓은 공간에서 과도한 산화물 손실을 막는데 특히 바람직하다.
다음의 메카니즘들은 본원 명세서에서 설명된 바람직한 에칭 결과를 발생시킬 수 있도록 한다. 상기 ARC 층 에칭의 시작 단계에서는 좁은 트렌치 바닦에서 얇은 마스킹 포토레지스트층 라이닝(lining)이 존재할 수 있다. 상기의 얇은 포토레지스트층은 가령 상기 포토레지스트 패턴형성 단계이후 작은 기하학적 공간내에 남아있는 포토레지스트 잔류물을 나타내는 것일 수 있다. 상기의 잔류물은 포토레지스트 패턴형성중에 상기 넓은 면적으로부터 적절히 제거될 수 있기도 하지만 작은 기하학적 공간으로부터는 완전히 제거될 수 없기 때문에 존재할 수 있다. 이같은 경우에, 가령 아르곤과 같이 상기 첫 번째 화학물질내의 한 비활성기체의 존재는 이같이 얇은 마스킹 층의 실질적인 에칭을 증가시켜서 개방 공간에서도 거의 같은 시간에 상기의 작은 기하학적 공간에서 에칭이 시작될 수 있도록 한다. 따라서, 상기의 에칭 속도 부하는 바람직스럽게 줄어든다.
폴리머 - 형성 화학물질(가령, N2, CHF3등)은 에칭하는데 주로 책임이 있는 화학물질(가령, Cl2)에 추가하여 존재할 수 있다. 이와 같이하여, 상기 보호막쓰움 층상에 폴리머를 동시에 용착시키는 동안 상기 보호막 쓰움 층(가령 TiN ARC층)을 통하여 상기 첫 번째 화학물질이 에칭되도록 한다. 상기의 첫 번째 화학물질에서 한 폴리머-형성제를 사용함은 더욱더 넓은 공간을 마이크로마스크한다. 즉 마스킹 폴리머가 더욱 좁은 공간에서보다 빠른 속도로 더욱 넓은 공간에서 용착될 수 있도록 한다. 상기의 마이크로 마스킹 형상으로 인해, 더욱 넓은 공간에서의 에칭 속도가 느려지도록 되며, 이에 의해서 더욱 넓은 공간에서의 에칭속도와 더욱 좁은 공간에서의 에칭속도사이의 차이를 줄이게 된다.
상기의 에칭 속도는 상기 폴리머-형성제의 흐름 체적비를 수정하므로써 적절하게 조절될 수 있다. 일례로써 폴리머-형성제의 흐름 체적비를 증가시키게 되면 에칭중 폴리머 용착이 증가하기 때문에 상기의 에칭속도를 줄이게 되는 경향이 있다.
또한 가령, 아르곤과 같은 비활성기체의 존재는 장벽층 에칭 단계중에 상기 알루미늄 측면 벽상에 형성되는 패시베이션 폴리머가 Cl+활성이온과의 반발을 증가시켜서 알루미늄 측면 벽에 대한 이들 Cl+이온의 친화도를 줄이게 한다. 결과적으로 Cl+활성 이온은 상기 장벽층을 더욱 이방성으로 그리고 더욱 빠른 에칭속도로 에칭하기 위해 상기 트렌치 저부를 향해 더욱 용이하게 아래를 향해 이동한다.
아르곤과 같은 비활성기체의 또다른 능력은 Cl+활성이온의 표면 확산을 변경시키어 상기 알루미늄 측면 벽에 의존하는 대신 더욱더 용이하게 상기 트렌치의 저부를 향해 아래로 상기 이온들이 이동하게 한다는 것이다.
도 4 는 본 발명의 한 실시예에 따라, 독창적인 첫 번째 화학물질이 TiN ARC층을 통해 에칭되도록 사용되는 본 발명의 독창적인 에칭 기술을 도시한 것이다. 상기의 독창적인 에칭 기술을 사용하기 이전에는 웨이퍼가 종래의 사전-에칭 단계에서 에칭되기 위해 준비되어야 함을 이해하여야 한다. 상기의 사전-에칭 단계로는 상기 웨이퍼를 척(chuck)에 고정시키고, 상기 플라즈마 처리 챔버내 압력을 안정화시키며, 웨이퍼와 척사이의 열전달을 용이하게 하기 위해 웨이퍼 배면으로 헬륨 냉각 가스를 안내하는 것 등을 포함한다.
단계(402)에서, 본 발명의 독창적인 첫 번째 화학물질을 사용해서 적어도 부분적으로 TiN ARC 층이 에칭된다. 상기 첫 번째 화학물질은 TiN ARC 층을 통해 에칭하기 위해 TiN 에칭제/비활성기체/폴리머-형성 가스 조합인 것이 바람직하다. 더욱 바람직하게는 TiN ARC 층을 통해 에칭시키기 위해 상기 첫 번째 화학물질이 Cl2/Ar/CHF3이고, 상기 금속화층은 기본적으로 알루미늄으로 만들어진다. 단계(404)에서, 상기 금속화층과 장벽층을 포함하는 층 더미의 나머지 층들은 가령 Cl2/BCL3와 같은 종래의 화학물질을 사용하여 에칭된다.
도 5 는 본 발명의 또다른 실시예에 따라, 본 발명의 독창적인 첫 번째 화학물질이 TiN 장벽층을 통해 에칭되도록 사용되는 독창적인 에칭 기술을 도시한다. 단계(502)에서 Cl3/BCl3와 같은 종래의 화학물질이 ARC층과 금속화 층 모두를 통해 에칭되도록 사용된다. 단계(504)에서 상기 독창적인 첫 번째 화학물질은 적어도 부분적으로 TiN 장벽층을 통해 에칭되도록 사용된다. 이같은 첫 번째 화학물질은 TiN 장벽층을 통해 에칭되기 위해 TiN 에칭제/비활성기체/폴리머-형성 가스 컴비네이션인 것이 바람직하다. 상기 첫 번째 화학물질은 TiN 장벽층을 통해 완전히 에칭되기 위해 Cl2/Ar/CHF3인 것이 좋다.
도 6 은 본 발명의 또다른 실시예에 따라, 상기 독창적인 화학물질이 TiN ARC 층과 TiN 장벽층 모두를 통해 에칭되도록 사용되는 에칭 기술을 설명한다. 단계(602)에서, 이는 도 4 의 단계(402)와 유사한 것인데, 상기 TiN ARC가 상기 첫 번째 화학물질로 에칭된다. 단계(604)에서, 상기 금속화 층을 포함하는 대부분의층더미 (그러나 TiN 장벽층을 제외하고)가 종래의 화학물질, 가령 Cl2/BCl3을 사용하여 관통 에칭된다. 단계(606)에서 상기의 TiN 장벽층은 첫 번째 화학물질을 사용하여 에칭된다. 이같은 단계(606)는 도 5 의 단계(504)와 유사하다.
도 4, 5 또는 6 의 독창적인 에칭 처리에 따라, 웨이퍼는 통상의 에칭후 처리 단계를 추가로 받게 된다. 다음에, 최종 처리된 웨이퍼가 다이(die)로 절단되며, 다음에 IC 칩으로 만들어진다. 가령 도 3 의 IC 칩(352)과 같은 결과의 IC 칩은 다음에 디지탈 컴퓨터와 같은 잘 알려진 상업용 또는 소지바용 전자 장치내에 내장된다.
일례로서, 하기의 테이블 1 은 TCPTM9600 SE 플라즈마 리액터에서 6 “웨이퍼에 대한 Cl2/Ar/CHF3의 첫 번째 화학물질 에칭에 적합한 처리 파라미터를 설명한다. 이같은 실시예에서, 금속화-덛씌우기 층은 TiN 반사방지층이며 약 0.5% 구리를 담고 있는 알루미늄 층을 덛씌운다. 하기의 테이블에서, 상측 전극 전력(와트로), 하측 전극전력(와트로) 그리고 흐름 속도(특별히 명시되지 않았으면 전체 에칭제 소스흐름속도의 퍼센트지로)의 적절 범위, 바람직한 범위, 그리고 더욱더 바람직한 범위가 도시된다. 상기 에칭을 위해 도움이 될 수 있는 다른 파라미터 값들은 같은 웨이퍼 및 반사방지층에서든 혹은 다른 웨이퍼 및 반사방지층에서든 당해 분야에서 통상의 지식을 가진자에 명백한 것이다.
상기 실시예에서는 가령 Cl2/Ar/CHF3와 같은 본 발명 화학물질이 TiN ARC 및/또는 장벽층을 에칭하는데 특히 적합한 것으로 기재하였으나, 상기 첫 번째 화학물질은 웨이퍼 더미중 어떠한 TiN층을 에칭하는데도 사용될 수 있음을 주목하여야 한다.

Claims (21)

  1. 플라스마 공정 챔버에서 주어진 층, 알루미늄 포함층, TiN 장벽층을 포함하는 웨이퍼 층상구조를 에칭하는 방법으로서, 이때 상기 주어진 층은 주로 TiN으로 구성되는 제 1 층과 주로 Ti로 구성되는 제 2 층 중 하나를 나타내며, 상기 주어진 층은 상기 알루미늄 포함층 위에 배치되고, 상기 알루미늄 포함층은 상기 TiN 장벽층 위에 배치되는, 그러한 방법에 있어서, 상기 방법은,
    - 제 1 화학물질을 이용하여 상기 주어진 층을 통해 에칭을 실시하고, 이때 상기 제 1 화학 물질은 상기 주어진 층을 에칭하기 위한 에칭제와, 비활성기체, 폴리머-형성 화학물질을 포함하며, 상기 폴리머-형성 화학물질은 N2와 CHF3중 하나이며,
    - 상기 제 1 화학물질과는 다른 제 2 화학물질을 이용하여 상기 알루미늄 포함층을 통해 에칭을 실행하며,
    - 상기 제 1 화학물질을 이용하여 상기 TiN 장벽층을 통해 에칭을 실시하는, 이상의 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 상기 주어진 층은 제 1 층을 나타내는 것을 특징으로 하는 방법.
  3. 제 2 항에 있어서, 상기 에칭제가 Cl2인 것을 특징으로 하는 방법.
  4. 제 3 항에 있어서, 상기 비활성기체가 아르곤인 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서, 상기 폴리머-형성 화학물질이 CHF3인 것을 특징으로 하는 방법.
  6. 제 2 항에 있어서, 상기 비활성기체가 아르곤 인 것을 특징으로 하는 방법.
  7. 제 6 항에 있어서, 상기 폴리머-형성 화학물질이 CHF3인 것을 특징으로 하는 방법.
  8. 제 2 항에 있어서, 상기 폴리머-형성 화학물질이 CHF3인 것을 특징으로 하는 방법.
  9. 제 1 항에 있어서, 상기 주어진 층이 상기 제 1 층이고, 상기 에칭제가 Cl2이며, 상기 비활성기체가 아르곤이고, 상기 폴리머-형성 화학물질이 CHF3인 것을 특징으로 하는 방법.
  10. 플라즈마 공정 챔버내에서, 웨이퍼 층상구조의 층들 중 선택된 부분을 통해 에칭하는 방법으로서, 이때 상기 웨이퍼 층상구조는 TiN 반사방지 코팅(ARC) 층, 금속화층, TiN 장벽층을 포함하며, 상기 TiN ARC층은 상기 금속화층 위에 배치되고, 상기 금속화층은 상기 TiN 장벽층 위에 배치되는, 이러한 방법으로서, 상기 방법은,
    - 제 1 화학물질로 상기 웨이퍼 층상구조의 상기 TiN ARC층을 통해 에칭을 실시하고, 이때 상기 제 1 화학물질은 TiN 에칭제, 비활성기체, 폴리머-형성 화학물질을 포함하며, 상기 폴리머-형성 화학물질은 N2와 CHF3중 하나이고,
    - 상기 제 1 화학물질과는 다른 제 2 화학물질을 이용하여 상기 금속화층을 통해 에칭을 실시하며,
    - 상기 제 1 화학물질을 이용하여 상기 TiN 장벽층을 통해 에칭을 실시하는, 이상의 단계를 포함하는 것을 특징으로 하는 방법.
  11. 제 10 항에 있어서, 상기 TiN 에칭제가 Cl2인 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서, 상기 비활성기체가 아르곤인 것을 특징으로 하는 방법.
  13. 제 12 항에 있어서, 상기 폴리머-형성 화학물질이 CHF3인 것을 특징으로 하는 방법.
  14. 제 13 항에 있어서, 상기 금속화층은 알루미늄 포함층을 나타내는 것을 특징으로 하는 방법.
  15. 제 1 항에 있어서, 상기 제 2 화학물질은 상기 제 1 화학물질에 의해 달성되는 에칭속도보다 더 빠른 속도로 상기 알루미늄 포함층을 통해 에칭을 진행시키는 것을 특징으로 하는 방법.
  16. 제 15 항에 있어서, 상기 제 2 화학물질은 Cl2/BCl3를 포함하는 것을 특징으로 하는 방법.
  17. 집적 회로를 제작하는 방법으로서, 상기 방법은,
    - 주어진 층, 금속화층, TiN 장벽층을 가지는 기판을 제공하고, 이때 상기 금속화층은 상기 주어진 층 아래에 배치되고, 상기 TiN 장벽층은 상기 금속화층 아래에 배치되며, 상기 주어진 층은 주로 TiN으로 구성되는 제 1 층과 주로 Ti로 구성되는 제 2 층 중 하나를 나타내고,
    - Cl2, 비활성기체, CHF3를 포함하는 제 1 화학물질을 이용하여 상기 주어진 층을 통해 에칭을 실시하며,
    - 상기 제 1 화학물질과는 다른 제 2 화학물질로 상기 금속화층을 통해 에칭을 실시하고,
    - 상기 제 1 화학물질로 상기 TiN 장벽층을 통해 에칭을 실시하는,
    이상의 단계를 포함하는 것을 특징으로 하는 방법.
  18. 제 17 항에 있어서, 상기 제 2 화학물질은 상기 제 1 화학물질에 의해 달성되는 에칭속도보다 더 빠른 속도로 상기 금속화층을 통해 에칭을 진행시키는 것을 특징으로 하는 방법.
  19. 제 17 항에 있어서, 상기 금속화층은 알루미늄 포함층을 나타내는 것을 특징으로 하는 방법.
  20. 제 19 항에 있어서, 상기 주어진 층은 상기 제 1 층이고, 상기 제 2 화학물질은 Cl2/BCl3를 포함하는 것을 특징으로 하는 방법.
  21. 제 20 항에 있어서, 상기 비활성기체가 아르곤인 것을 특징으로 하는 방법.
KR10-1998-0706372A 1996-02-15 1997-02-14 반도체웨이퍼에칭방법 KR100451487B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/602,251 US6004884A (en) 1996-02-15 1996-02-15 Methods and apparatus for etching semiconductor wafers
US08/602,251 1996-02-15
US8/602,251 1996-02-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-7016594A Division KR100491199B1 (ko) 1996-02-15 1997-02-14 반도체 웨이퍼 에칭에 의한 집적 회로

Publications (2)

Publication Number Publication Date
KR19990082633A KR19990082633A (ko) 1999-11-25
KR100451487B1 true KR100451487B1 (ko) 2004-12-08

Family

ID=24410621

Family Applications (2)

Application Number Title Priority Date Filing Date
KR10-1998-0706372A KR100451487B1 (ko) 1996-02-15 1997-02-14 반도체웨이퍼에칭방법
KR10-2003-7016594A KR100491199B1 (ko) 1996-02-15 1997-02-14 반도체 웨이퍼 에칭에 의한 집적 회로

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR10-2003-7016594A KR100491199B1 (ko) 1996-02-15 1997-02-14 반도체 웨이퍼 에칭에 의한 집적 회로

Country Status (7)

Country Link
US (1) US6004884A (ko)
EP (1) EP0880799B1 (ko)
JP (1) JP3957319B2 (ko)
KR (2) KR100451487B1 (ko)
DE (1) DE69718142T2 (ko)
TW (1) TW287304B (ko)
WO (1) WO1997030472A1 (ko)

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US5883007A (en) * 1996-12-20 1999-03-16 Lam Research Corporation Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
US5846884A (en) * 1997-06-20 1998-12-08 Siemens Aktiengesellschaft Methods for metal etching with reduced sidewall build up during integrated circuit manufacturing
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US5904569A (en) * 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
JP4947834B2 (ja) 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド ダメージフリー被覆刻設堆積法
JP2985858B2 (ja) * 1997-12-19 1999-12-06 日本電気株式会社 エッチング方法
US6177337B1 (en) * 1998-01-06 2001-01-23 International Business Machines Corporation Method of reducing metal voids in semiconductor device interconnection
TW387098B (en) * 1999-01-11 2000-04-11 Mosel Vitelic Inc A method that can determine the quality of
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6531404B1 (en) * 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
WO2002015231A2 (en) * 2000-08-14 2002-02-21 Motorola, Inc. A method for patterning layers of semiconductor devices
JP3733021B2 (ja) * 2000-12-15 2006-01-11 シャープ株式会社 プラズマプロセス方法
TW503522B (en) * 2001-09-04 2002-09-21 Nanya Plastics Corp Method for preventing short circuit between metal conduction wires
KR100949004B1 (ko) * 2002-12-24 2010-03-23 동부일렉트로닉스 주식회사 Mim 구조의 커패시터 제조방법
US7682985B2 (en) * 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7815814B2 (en) * 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6817752B2 (ja) * 2016-09-09 2021-01-20 株式会社日立ハイテク エッチング方法およびエッチング装置
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4267012A (en) * 1979-04-30 1981-05-12 Fairchild Camera & Instrument Corp. Process for patterning metal connections on a semiconductor structure by using a tungsten-titanium etch resistant layer
US4740485A (en) * 1986-07-22 1988-04-26 Monolithic Memories, Inc. Method for forming a fuse
US4820611A (en) * 1987-04-24 1989-04-11 Advanced Micro Devices, Inc. Titanium nitride as an antireflection coating on highly reflective layers for photolithography
US4878994A (en) * 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
DE3842758A1 (de) * 1988-12-19 1990-06-21 Siemens Ag Verfahren zum aetzen einer dreilagigen verdrahtungsebene bei der herstellung integrierter halbleiterschaltungen
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4980018A (en) * 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
JP2519364B2 (ja) * 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
US5217570A (en) * 1991-01-31 1993-06-08 Sony Corporation Dry etching method
KR100293830B1 (ko) * 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
US5256245A (en) * 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
US5326427A (en) * 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
US5387556A (en) * 1993-02-24 1995-02-07 Applied Materials, Inc. Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2
US5443941A (en) * 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
US5286675A (en) * 1993-04-14 1994-02-15 Industrial Technology Research Institute Blanket tungsten etchback process using disposable spin-on-glass
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP2809087B2 (ja) * 1994-02-15 1998-10-08 日本電気株式会社 配線形成方法
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5620615A (en) * 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
US5496762A (en) * 1994-06-02 1996-03-05 Micron Semiconductor, Inc. Highly resistive structures for integrated circuits and method of manufacturing the same
TW290717B (en) * 1994-10-28 1996-11-11 Advanced Micro Devices Inc Method to prevent formation of defects during multilayer interconnect processing
US5609775A (en) * 1995-03-17 1997-03-11 Chartered Semiconductor Manufacturing Pte Ltd. Dry etch process for titanium-tungsten films
US5550085A (en) * 1995-09-07 1996-08-27 Winbond Electronics Corp. Method for making a buried contact
US5772906A (en) * 1996-05-30 1998-06-30 Lam Research Corporation Mechanism for uniform etching by minimizing effects of etch rate loading

Also Published As

Publication number Publication date
KR19990082633A (ko) 1999-11-25
KR20040021612A (ko) 2004-03-10
JP3957319B2 (ja) 2007-08-15
TW287304B (en) 1996-10-01
WO1997030472A1 (en) 1997-08-21
DE69718142D1 (de) 2003-02-06
US6004884A (en) 1999-12-21
EP0880799B1 (en) 2003-01-02
KR100491199B1 (ko) 2005-05-25
JP2000504884A (ja) 2000-04-18
EP0880799A1 (en) 1998-12-02
DE69718142T2 (de) 2003-08-21

Similar Documents

Publication Publication Date Title
KR100451487B1 (ko) 반도체웨이퍼에칭방법
US6090717A (en) High density plasma etching of metallization layer using chlorine and nitrogen
US5772906A (en) Mechanism for uniform etching by minimizing effects of etch rate loading
US5827437A (en) Multi-step metallization etch
US5980768A (en) Methods and apparatus for removing photoresist mask defects in a plasma reactor
US5883007A (en) Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
JP2915807B2 (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
WO1999033097A1 (en) Improved techniques for etching an oxide layer
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
KR20010042983A (ko) 고 종횡비 개구를 형성하는 방법
KR100493486B1 (ko) 개선된 전도층 엣칭방법 및 장치
JP4024636B2 (ja) 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
US5952244A (en) Methods for reducing etch rate loading while etching through a titanium nitride anti-reflective layer and an aluminum-based metallization layer
US5846443A (en) Methods and apparatus for etching semiconductor wafers and layers thereof
JPH08186111A (ja) 接続孔の形成方法
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
Shiau et al. Method for anisotropically etching tungsten using SF6 CHF3 and N2
Cheung et al. Etching MoSi 2 using SF 6, HBr and O 2

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120906

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20130910

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150908

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee