DE69718142T2 - Verfahren zum ätzen von halbleiterscheiben - Google Patents

Verfahren zum ätzen von halbleiterscheiben

Info

Publication number
DE69718142T2
DE69718142T2 DE69718142T DE69718142T DE69718142T2 DE 69718142 T2 DE69718142 T2 DE 69718142T2 DE 69718142 T DE69718142 T DE 69718142T DE 69718142 T DE69718142 T DE 69718142T DE 69718142 T2 DE69718142 T2 DE 69718142T2
Authority
DE
Germany
Prior art keywords
layer
etching
tin
etch
chemical agent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69718142T
Other languages
English (en)
Other versions
DE69718142D1 (de
Inventor
C. Abraham
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of DE69718142D1 publication Critical patent/DE69718142D1/de
Publication of DE69718142T2 publication Critical patent/DE69718142T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/53After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone involving the removal of at least part of the materials of the treated article, e.g. etching, drying of hardened concrete
    • C04B41/5338Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Structural Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

    Hintergrund der Erfindung
  • Die vorliegende Erfindung betrifft die Herstellung integrierter Halbleiterschaltungen (ICs). Insbesondere betrifft die Erfindung Verfahren zum Ätzen durch einen IC- Schichtstapel, einschließlich der titanhaltigen Schicht, während der IC-Herstellung.
  • Bei der Herstellung integrierter Halbleiterschaltungen werden Vorrichtungen wie Einzeltransistoren auf einem Halbleiterwafer oder -substrat, welches üblicherweise aus ist, gebildet. Metallische Verbindungsleitungen, welche aus einer auf den Wafer aufgebrachten Metallisierungsschicht ausgeätzt werden, werden dann verwendet, um die Vorrichtungen miteinander zu verbinden und die gewünschte Schaltung zu erzeugen. Um die Beschreibung zu erleichtern, zeigt Fig. 1 eine Querschnittsansicht eines Schichtstapels 20 mit den bei der Herstellung einer typischen integrierten Halbleiterschaltung gebildeten Schichten. Es sei erwähnt, dass zusätzliche Schichten über, unter oder zwischen den gezeigten Schichten vorliegen können. Ferner müssen nicht alle gezeigten Schichten zwingend vorliegen, und einige oder alle können durch weitere verschiedene Schichten ersetzt werden.
  • Am Boden des Schichtstapels 20 ist ein Wafer 100 gezeigt. Eine Oxidschicht 102, die üblicherweise SiO&sub2; enthält, wird über dem Wafer 100 gebildet. Eine Sperrschicht 104, üblicherweise aus einer titanhaltigen Schicht, wie Ti, TiW, TiN oder einem anderen geeigneten Sperrschichtmaterial, kann zwischen der Oxidschicht 102 und einer anschließend aufgebrachten Metallisierungsschicht 106 aufgetragen werden. Die Sperrschicht 104, sofern sie bereitgestellt wird, dient dazu die Diffusion von Siliziumatomen aus der Oxidschicht 102 in die Metallisierungsschicht zu unterbinden.
  • Die Metallisierungsschicht 106 umfasst üblicherweise Kupfer, Aluminium oder eine der bekannten Aluminiumlegierungen, wie Al-Cu, Al-Si oder Al-Cu-Si. Die restlichen zwei Schichten in Fig. 1, d. h. eine Antireflektionsbeschichtungs-(ARC-)Schicht 108 und eine darüber liegende Photoresist-(PR-)Schicht 110 werden dann auf der Metallisierungsschicht 106 ausgebildet. Die ARC-Schicht 108, welche üblicherweise eine weitere titanhaltige Schicht, wie TiN oder TiW, enthält, hilft zu verhindern, dass Licht (z. B. aus dem Lithographieschritt, der Photoresist mustert) von der Oberfläche der Metallisierungsschicht 106 reflektiert und gestreut wird und kann in manchen Fällen verhindern, dass Stege entstehen. Die Photoresistschicht 110 zeigt eine Schicht aus einem herkömmlichen Photoresistmaterial, welches für das Ätzen, z. B. durch Aussetzen gegenüber ultravioletten Strahlen, gemustert werden kann. Die Schichten des Schichtstapels 20 sind leicht vom Fachmann zu erkennen und können durch eine Anzahl geeigneter und bekannter Ablagerungsverfahren hergestellt werden, einschließlich der chemischen Dampfabscheidung (CVD), der plasmaverstärkten chemischen. Dampfabscheidung (PECVD) und der physikalischen Dampfabscheidung (PVD) wie durch Sputtern.
  • Um die oben genannten metallischen Verbindungsleitungen zu bilden, wird ein Teil der Schichten des Schichtstapels, einschließlich der Metallisierungsschicht, z. B. der Metallisierungsschicht 106, mit einer geeigneten Photoresisttechnik geätzt. Bei einem solchen Photoresistverfahren wird die Photoresistschicht 110 beispielsweise gemustert, indem man das Photoresistmaterial in einem Kontakt- oder Stepper-Lithographiesystem exponiert und das Photoresistmaterial entwickelt, so dass eine Maske entsteht, die das anschließende Ätzen erleichtert. Mit Hilfe eines geeigneten Ätzmittels werden die Bereiche der Metallisierungsschicht, die nicht durch die Maske geschützt sind, dann weggeätzt, wobei die verbindenden Metallisierungsleitungen oder -merkmale zurückbleiben.
  • Zur Verdeutlichung zeigt Fig. 2 eine Querschnittsansicht des Schichtstapels 20 aus Fig. 1 nach Beendigung des herkömmlichen Ätzens. In diesem Beispiel sind die metallischen Verbindungsleitungen durch die ungeätzten Bereiche der Metallisierungsschicht 106 gezeigt.
  • Um eine größere Schaltungsdichte zu erreichen, sind moderne IC-Schaltungen mit immer engeren Konstruktionsregeln bemessen. Folglich hat sich die Größe der Merkmale, d. h. die Breite der Verbindungsleitungen oder der Aussparungen (z. B. Gräben) zwischen den aneinanderliegenden Verbindungsleitungen, stetig verringert. Während beispielsweise eine Leitungsbreite von etwa 0,8 Mikrometer (um) in einem dynamischen 4 Megabit (Mb) Arbeitsspeicher (DRAM)-IC als annehmbar gilt, werden in 256 Mb DRAM-ICs vorzugsweise Verbindungsleitungen von nur 0,25 Mikrometer oder weniger eingesetzt.
  • Mit abnehmender Merkmalsgröße wird es zunehmend schwieriger eine einheitliche Ätzrate über den Wafer zu erreichen. Üblicherweise ist die Ätzrate in engen Beabstandungen langsamer als in den breiteren, offenen Bereichen. Dieses Phänomen, was hier als Ätzratenbelastung bezeichnet wird, kann eine Folge der Mikrobelastung und des vom Seitenverhältnis abhängigen Ätzens (ARDE) sein. Die Mikrobelastung betrifft hauptsächlich den Fall, bei dem die Ätzrate in Bereichen mit einer hohen Dichte der Leitungsabstände kleiner ist als die Ätzrate bei gleich großen Gräben, die in einem weniger dichten Bereich vorliegen. Das ARDE betrifft andererseits hauptsächlich den Fall, bei dem Veränderungen der Ätzraten zwischen Gräben vorkommen, die in Bereichen mit ähnlicher Grabendichte angeordnet sind und die unterschiedliche Seitenverhältnisse aufweisen. Die Belastung der Ätzraten führt dazu, dass in einem Schichtstapel Gräben mit unterschiedlicher Rate gebildet werden. Die Belastung der Ätzraten verschlechtert sich, wenn die Grabenbreite unter etwa 0,5 um und insbesondere wenn die Grabenbreite unter etwa 0,35 um fällt. Aufgrund dieser Veränderungen der Ätzrate kann bis zu dem Zeitpunkt, zu dem das Metallätzen in Bereichen mit einer geringen Ätzrate abgeschlossen ist (z. B. in Bereichen mit engem Leitungsabstand), bereits ein Überätzen, d. h. das unvermeidbare Entfernen von Material aus darunter liegenden Schichten, in Bereichen mit einer höheren Ätzrate (z. B. den offenen Bereichen) erfolgen.
  • In Fig. 2 zeigt der Bereich 120 einen offenen Bereich, wo die Metallisierungsschicht überätzt ist (um den Abstand d1), und der Bereich 122 zeigt einen untergeätzten Bereich, wo die Metallisierung unterätzt ist (um den Abstand d2). Sind die Veränderungen der Ätzrate groß genug, ist es bei manchen Formen nicht möglich die Zielschicht, z. B. die Metallschicht, in Bereichen mit geringeren Abständen zu durchätzen, bevor den darunter liegenden Schichten in den freien Bereichen unerwünschter Schaden zugeführt wird. Beispielsweise können große Unterschiede der Ätzrate ein unerwünschtes Überätzen sowie einen übermäßigen Oxidverlust in dem Bereich 120 verursachen, was dazu führt, dass der Wafer in einer Weise bearbeitet wird, die ihn für die Verwendung zur Herstellung integrierter Schaltungen unbrauchbar macht.
  • Im Stand der Technik wird die TiN-ARC-Schicht, die Aluminium-Metallisierungsschicht und die TiN-Sperrschicht üblicherweise durch ein einziges chemisches Mittel geätzt, z. B. Cl&sub2;/BCl&sub3;. Cl&sub2;/BCl&sub3; ätzt üblicherweise schneller durch die Aluminium-Metallisierungsschicht als durch die TiN-Schicht. Es wurde beispielsweise gefunden, dass die Ätzrate von Cl&sub2;/BCl&sub3; durch Aluminium etwa 9.000 Ångström/Minute beträgt, während seine Ätzrate durch TiN nur etwa 2.500 bis 3.000 Ångström/Minute ist. Die langsamere TiN- Ätzrate verringert die Gesamtbearbeitungszeit der Wafer nachteilig, d. h. es können wenige Wafer innerhalb einer Zeiteinheit verarbeitet werden. Ferner verringert die langsamere TiN-Ätzrate die Photoresistselektivität, da die schützende Photoresistschicht dem Ätzverfahren für einen längeren Zeitraum ausgesetzt werden muss.
  • Die US-A-54 11 631 beschreibt ein Verfahren zum Ätzen eines AlSiCu/Ti/TiN-Stapels mit einem Ätzgas wie CHF&sub3;, Ar und Cl&sub2;.
  • Die US-A-54 29 070 beschreibt mehrere Ätzgase für Al- oder Ti-haltige Folien.
  • Angesichts des Vorstehenden besteht ein Bedarf für verbesserte Verfahren und Vorrichtungen zum Ätzen durch eine titanhaltige Schicht, wie die TiN-ARC-Schicht oder die TiN-Sperrschicht, bei der Herstellung von integrierten Schaltungen.
  • Zusammenfassung der Erfindung
  • Die Erfindung betrifft ein wie in den Ansprüchen beschriebenes Verfahren zum Ätzen eines Waferstapels. Die vorliegende Erfindung wird durch Lesen der nachstehenden ausführlichen Beschreibung und Studieren der verschiedenen Figuren in den Zeichnungen deutlich.
  • Kurzbeschreibung der Zeichnungen
  • Fig. 1 zeigt eine Querschnittsansicht eines Schichtstapels, der die Schichten zeigt, die während der Herstellung eines typischen Halbleiter-IC's gebildet werden.
  • Fig. 2 zeigt im Querschnitt den Schichtstapel aus Fig. 1 nach Abschluss des herkömmlichen Ätzens.
  • Fig. 3 zeigt eine vereinfachte schematische Darstellung eines TCPTM-9600-SE-Plasmareaktors, welcher ein geeignetes Plasmaverarbeitungssystem zum Gebrauch in dem erfindungsgemäßen Ätzverfahren darstellt.
  • Fig. 4 zeigt ein Ätzverfahren, worin das erfindungsgemäße erste chemische Mittel zum Ätzen durch die TiN-ARC-Schicht verwendet wird.
  • Fig. 5 zeigt ein Ätzverfahren, worin das erfindungsgemäße erste chemische Mittel zum Ätzen durch die TiN-Sperrschicht verwendet wird.
  • Fig. 6 zeigt ein Ätzverfahren, worin das erfindungsgemäße chemische Mittel zum Ätzen sowohl durch die TiN-ARC-Schicht als auch die TiN-Sperrschicht verwendet wird.
  • Ausführliche Beschreibung bevorzugter Ausführungsformen
  • Es wird eine Erfindung für ein verbessertes Ätzen durch die titanhaltige Schicht, wie die TiN-ARC-Schicht oder die TiN-Sperrschicht, bei der Herstellung von ICs beschrieben. In nachstehender Beschreibung werden zahlreiche spezifische Einzelheiten beschrieben, damit die vorliegende Erfindung genau verstanden wird. Der Fachmann wird jedoch erkennen, dass die vorliegende Erfindung ohne einiger oder aller, dieser spezifischen Einzelheiten ausgeführt werden kann. Andererseits wurden gut bekannte Verfahrensschritte nicht ausführlich beschrieben, um die vorliegende Erfindung nicht unnötigerweise zu verdecken.
  • Gemäß einem Aspekt der vorliegenden Erfindung wird das vorgenannte Ätzraten- Belastungsproblem gelöst, indem der Schichtstapel in zwei Schritten mit, zwei verschiedenen chemischen Mitteln geätzt wird. Dieser erste chemische Ätzschritt verläuft vorzugsweise wenigstens durch die TiN-ARC-Schicht, z. B. die ARC-Schicht 108 aus Fig. 1. Zusätzlich zu dem wesentlichen TiN-Ätzgas, welches zum Ätzen der vorgenannten TiN-ARC-Schicht verwendet wird, umfasst das erste chemische Mittel ein Edelgas und ein Polymerbildendes Gas. Danach wird der Schichtstapel wieder geätzt, diesmal mit einem zweiten chemischen Mittel, das sich von dem ersten chemischen Mittel unterscheidet. Diesen zweiten chemischen Ätzschritt lässt man bis wenigstens teilweise durch die Metallisierungsschicht, z. B. die Schicht 106 aus Fig. 1 durchdringen. Die TiN- Sperrschicht wird dann mit dem vorstehend genannten ersten chemischen Mittel geätzt.
  • Das erfindungsgemäße Ätzverfahren kann in jedem bekannten Plasmaverarbeitungsgerät, einschließlich denen, die zum Trockenätzen, Plasmaätzen, reaktiven Ionenätzen (RIE), magnetisch gefördertem reaktiven Ionenätzen (MERIE) oder dergleichen angepasst sind, verwendet werden. Zur weiteren Ausführung sei gesagt, dass in einer typischen Plasmaverarbeitungskammer, welche zum Trockenätzen angepasst ist, der Wafer mit Plasma behandelt wird. Die Kammer umfasst eine Einlassöffnung, durch die die Ätzgase in das Kammerinnere geleitet werden. Eine geeignete RF-Energiequelle, z. B. eine RF-Energiequelle, wird an die Elektroden, die mit der Kammer verbunden sind, angelegt, um das Plasma zu induzieren. Die Energie selbst kann induktiv oder kapazitiv gekoppelt sein, um das Plasma, wie bekannt ist, aufrecht zu erhalten. Aus dem Ätzgas bilden sich dann Verbindungen, die mit dem Wafer reagieren und an der Plasma-kontaktierenden Schicht des Waferschichtstapels wegätzen. Die Nebenprodukte, welche flüchtig sein können, werden dann durch die Auslassöffnung abgelassen.
  • Das Plasmaätzen betrifft den Fall, wo der Wafer während der Waferverarbeitung an der Anode oder dem Erdungsstab positioniert ist. Andererseits betrifft das reaktive Ionenätzen (RIE) den Fall, wo der Wafer während der Verarbeitung an der Kathode oder der angeschlossenen Elektrode positioniert ist. Magnetisch gefördertes reaktives Ionenätzen (MERIE) betrifft eine Variante der RIE-Reaktoranordnung, worin ein magnetisches Feld angelegt wird, um den Verlust der energetischen Elektronen auf der Reaktorwandoberfläche zu verringern. Es wurde gefunden, dass die MERIE-Reaktoren unter bestimmten Bedingungen die Wirksamkeit der Energieübertragung von den Elektroden zu den Elektronen im Plasma erhöhen können.
  • Es wird erwägt, dass die Erfindung in allen obigen Reaktoren durchgeführt werden kann, ebenso wie in anderen geeigneten Plasmaverarbeitungsreaktoren. Es wird darauf verwiesen, dass das obige unabhängig davon zutrifft, ob Energie ins Plasma gelangt durch kapazitiv gekoppelte parallele Elektrodenplatten, durch Elektron-Cyclotron-Resonanz (ECR), Mikrowellenplasmaquellen, durch induktiv gekoppelte RF-Quellen, wie "Helicon", spiralförmige Resonatoren, und Transformer-gekoppeltes Plasma (TCP). ECR- und TCP-Plasmaverarbeitungssysteme sind unter anderem erhältlich von Lam Research Corporation aus Fremont, Californien.
  • In einer bevorzugten Ausführungsform wird die vorliegende Erfindung in einem TCPTM- 9600-SE-Plasmareaktor eingesetzt, der von Lam Research Corporation stammt, obwohl, wie oben erwähnt, jedes andere herkömmliche und geeignete Plasmaverarbeitungssystem genauso gut verwendet werden kann. Fig. 3 zeigt eine vereinfachte schematische Darstellung des TCPTM-9600-SE-Plasmareaktors, einschließlich dem Wafer 350 und den integrierten Schaltungschips 352, welche aus Plättchen hergestellt wurden, die aus dem Wafer 350 ausgeschnitten wurden, nachdem der Wafer gemäß dem erfindungsgemäßen Ätzverfahren geätzt und in einem herkömmlichen Post-Ätz-Schritt verarbeitet wurde. Mit Bezug auf Fig. 3 umfasst ein Waferreaktor 300 eine Plasmaverarbeitungskammer 302. Über der Kammer 302 ist eine Elektrode 303 angebracht, welche durch eine Spule in dem Beispiel aus Fig. 1 ergänzt ist. Die Spule 303 wird durch einen RF-Generator 305 über ein passendes Netzwerk (nicht in Fig. 3 gezeigt) betrieben.
  • Innerhalb der Kammer 302 befindet sich ein Duschkopf 304, welcher vorzugsweise eine Anzahl Löcher zur Freisetzung von gasförmigen Materialien, z. B. den Ätzgasen, in den RF-induzierten Plasmabereich zwischen sich selbst und einem Wafer 350 umfasst. Die gasförmigen Ausgangsmaterialien können auch aus Öffnungen freigesetzt werden, die in den Wänden der Kammer selbst eingebaut sind. Der Wafer 350 wird in die Kammer 302 eingeführt und auf einer Spannvorrichtung 310 abgelegt, welche als zweite Elektrode dient und vorzugsweise durch einen Radiofrequenzgenerator 320 (auch üblicherweise über ein passendes Netzwerk) vorgespannt wird. Helium-Kühlgas wird unter Druck (z. B. etwa 5-10 Torr in einer Ausführungsform) zwischen der Spannvorrichtung 310 und dem Wafer 350 eingeleitet, um als Wärmeübertragungsmedium zur genauen Kontrolle der Wafertemperatur während der Verarbeitung zu dienen und sicherzustellen, dass gleichförmige und wiederholbare Ätzergebnisse erhalten werden. Während des Plasmaätzens wird der Druck in der Kammer 302 vorzugsweise gering gehalten, z. B. zwischen etwa 8 und 22 mTorr in einer Ausführungsform. Eine Anzahl Heizgeräte (die in Fig. 1 weggelassen wurden, um die Darstellung zu vereinfachen) wurde bereitgestellt, um eine geeignete Kammertemperatur für das Ätzen bereitzustellen (z. B. etwa 70ºC in einer Ausführungsform). Um eine Verbindung zur Erde bereitzustellen wurde die Kammerwand der Kammer 302 üblicherweise geerdet.
  • Gemäß einer erfindungsgemäßen Ausführungsform wird die titanhaltige anti-reflektierende Überzugsschicht des Waferstapels mit einem ersten chemischen Mittel geätzt. Obwohl in dieser Ausführungsform angenommen wird, dass die antireflektierende Überzugsschicht die Schicht darstellt, welche mit dem ersten chemischen Mittel geätzt wird, kann dieser erste chemische Ätzschritt in bestimmten Waferstapelmustern auch auf jeder die Metallisierungs-Deckschicht, z. B. Adhäsionsschicht, Sähschicht oder dergleichen durchgeführt werden. Wie hierin verwendet steht eine die Metallisierungs-Deckschicht für ein Schicht, die auf eine Metallisierungsschicht aufgetragen wird. Diese Deckschicht kann entweder aus TiN oder einem Titan-ähnlichen Material hergestellt werden.
  • Der Ätzenvorgang mit dem ersten chemischen Mittel ist vorzugsweise beendet, wenn man feststellt, dass die anti-reflektierende Überzugsschicht durchgeätzt ist. In einem Beispiel wird die anti-reflektierende Überzugsschicht aus TiN hergestellt und der Endpunkt wird dadurch festgestellt, dass man den Anstieg der Wellenlänge bei 703 nm im Plasma optisch überwacht; dadurch wird angezeigt, dass im wesentlichen die gesamte Antireflektions-TiN-Überzugsschicht entfernt worden ist. Das Ätzen kann dann sofort eingestellt werden. Andere herkömmliche Verfahren zur Endpunkterkennung für diesen ersten chemischen Ätzschritt können auch verwendet werden.
  • Das erste chemische Mittel zum Ätzen einer Antireflektions-TiN-Überzugsschicht umfasst drei verschiedene Gase: Ein TiN-Ätzgas, ein Edelgas und ein polymerbildendes Gas. Weiter bevorzugt ist das TiN-Ätzgas Chlor (Cl&sub2;) und das Edelgas kann eines sein, ausgewählt aus der Gruppe aus Argon, Xenon, Krypton oder dergleichen. Am meisten bevorzugt werden in den ersten chemischen Mittel zum Ätzen einer Antireflektions-TiN- Überzugsschicht Cl&sub2;/Ar/CHF&sub3; verwendet.
  • Anschließend wird der restliche Schichtstapel mit einem zweiten chemischen Mittel, wenigstens teilweise durch die Metallisierungsschich, durchgeätzt. Das zweite chemische Mittel kann beispielsweise zum Durchätzen des Großteils der Metallisierungsschicht verwendet werden, wobei die darunter liegende Sperrschicht wieder mit dem ersten chemischen Mittel geätzt wird. Das zweite chemische Mittel unterscheidet sich deshalb von dem ersten chemischen Mittel, da, wenn man ein polymerbildendes Gas in dem Hauptätzschritt, z. B. durch die Aluminium-Metallisierungsschicht, verwenden würde, aufgrund der Mikromaskierung Rückstände entstehen könnten und es auch zu einer Verringerung der Photoresistselektivität kommen könnte. Die Verwendung neuer erster chemischer Mittel (um durch die ARC-Schicht zu ätzen), die sich von diesen zweiten chemischen Mittel unterscheiden, bildet ein wesentliches Merkmal der vorliegenden Erfindung. Dies steht im starken Gegensatz zu dem Stand der Technik, wo das Ätzen mit nur einem chemischen Mittel (üblicherweise Cl&sub2;/BCl&sub3;) durchgeführt wird, um sowohl durch die TiN-ARC-Schicht als auch die Metallisierungsschicht zu ätzen.
  • Der erfindungsgemäße Ansatz unterscheidet sich wesentlich von den Ansätzen aus dem Stand der Technik mit zwei chemischen Mitteln. Im Stand der Technik wird ein Ansatz mit zwei chemischen Mitteln verwendet, wenn Titanwolfram (TiW) als ARC-Schichtmaterial eingesetzt wird, nicht jedoch wenn Titannitrat (TiN) das ARC-Schichtmaterial ist. Ausführlicher gesagt verwendet der Ansatz aus dem Stand der Technik ein erstes chemisches Mittel mit SF&sub6;, um die TiW-ARC-Schicht wegzuätzen. Die restlichen Schichten werden dann gemeinsam mit einem anderen chemischen Mittel, z. B. Cl&sub2;/BCl&sub3;, geätzt, da SF&sub6; Aluminium nicht gut ätzt. Es sei erwähnt, dass SF&sub6; im Stand der Technik aufgrund seiner Fähigkeit das TiW-Material zu ätzen als erstes chemisches Mittel verwendet wird, nicht jedoch um die Ätzratenbelastung zu verringern. Ferner umfasst das Verfahren aus dem Stand der Technik nicht das Zugeben eines polymerbildenden Gases zu der Ätzchemikalie in dem ersten chemischen Mittel, da SF&sub6; in dem ersten chemischen Mittel sowohl als Ätzmittel als auch als polymerbildendes Gas dient.
  • SF&sub6; hat auch eine hohe Ätzrate für Photoresist. Folglich ist es üblicherweise notwendig eine dickere Photoresistschicht einzusetzen, wenn TiW das ARC-Schichtmaterial ist, um die darunter liegenden Strukturen angemessen vor dem SF&sub6;-Ätzmittel zu schützen.
  • Für TiN als Material für die ARC-Schicht wurde im Stand der Technik jedoch ein einziges chemisches Mittel, z. B. Cl&sub2;/BCl&sub3;, sowohl für das Ätzen der TiN-ARC-Schicht als auch das Hauptätzen verwendet. Dies liegt daran, dass das TiN-Ätzmittel, z. B. das Cl&sub2;, nicht unter dem mit SF&sub6; assoziierten Problem der Photoresistselektivität leidet, d. h. Cl&sub2; besitzt keine höhere Ätzrate gegenüber Photoresist im Vergleich zu SF&sub6;.
  • Die Verwendung eines polymerbildenden Gases aus CHF&sub3; in dem ersten chemischen Mittel ist auch ein nicht naheliegendes Merkmal der vorliegenden Erfindung, da polymerbildende Gase dazu neigen Photoresist schnell wegzuätzen, d. h. seine Zugabe zu einem chemischen Ätzverfahren verringert die Photoresistselektivität. Die Verwendung eines polymerbildenden Gases in dem ersten chemischen Mittel ist dann gegensätzlich zu den herkömmlichen Ansätzen, welche darauf hinarbeiten die Photoresistselektivität zu erhöhen. Da das erfindungsgemäße erste chemische Mittel, welches zusätzlich zu einem Ätzmittel ein polymerbildendes Gas umfasst, nur für kurze Zeit und in angemessenen Mengen angewendet wird, um durch die dünne Deckschicht und/oder die Sperrschicht, z. B. die TiN-ARC-Schicht und/oder die TiN-Sperrschicht, zu ätzen, zeigen die erhaltenen Daten keine unangemessen störenden Ergebnisse. Das Volumen des polymerbildenden Gases darf jedoch nicht so groß sein, z. B. etwa 50% oder mehr des Cl&sub2;-Flusses, dass es die schützende Photoresistschicht unangemessen schädigt, eine übermäßige Passivierungsablagerung verursacht und möglicherweise die Ätzratenbelastung umkehrt.
  • Die Ätzratenbelastung kann verringert werden, indem man ein anderes chemisches Mittel zu Beginn des Ätzens einsetzt (z. B. auf die Schicht, die über der Metallisierungsschicht liegt, wie die ARC-Schicht), so dass das Thema der Ätzraten-Belastung zu diesem Anfangsstadium gelöst wird. Für weitere Einzelheiten wird Bezug genommen auf die ebenfalls anhängige Patentanmeldung mit dem Titel "Methods and Apparatus for Reducing Etch Rate Loading" und mehreren Anmeldern (anwaltliches Aktenzeichen Nr. P195/LAM1P008), eingereicht am gleichen Tag.
  • Bei dem Cl&sub2;/BCl&sub3;-ein-Chemikalien-Ätzverfahren aus dem Stand der Technik betrug die Ätzratenbelastung für einen 6-Inch-Wafer und einer 0,35 um (Mikron) Anordnung etwa 25-30%, d. h. die Ätzrate in den offenen Bereichen war etwa 25-30% schneller als in den 0,35 um-Beabstandungen. Unter ähnlichen Verfahrensbedingungen erreichte die Ätzratenbelastung für ein Ätzverfahren, welches Cl&sub2;/Ar/CHF&sub3; als erstes chemisches Mittel zum Ätzen der TiN-ARC-Schicht verwendete, etwa 3% bzw. 2% im Waferinneren und an dem Waferkanten.
  • Aufgrund der verringerten Ätzratenbelastung muss man die Ätzzeit nicht verlängern, um zu erreichen, dass die Metallschicht in den engen Beabstandungen durchgeätzt wird. Die kürzeren Ätzzeiten führen dazu, dass während des Hauptätzvorgangs weniger Schäden an den schützenden Photoresist-Maskenbereichen entstehen, was die Fähigkeit der Photoresist-Maskenbereiche zum Schützen der darunter liegenden Strukturen während des Ätzens verbessert.
  • Die Verwendung von Cl&sub2;/Ar/CHF&sub3; in dem ersten chemischen Mittel zum Durchätzen der TiN-ARC-Schicht führt vorteilhafter Weise zu einem erhöhten Waferdurchsatz. Dies ist darauf zurückzuführen, dass das erfindungsgemäße Cl&sub2;/Ar/CHF&sub3;-Mittel mit höherer Geschwindigkeit durch TiN ätzt als das Cl&sub2;/BCl&sub3;-Mittel aus dem Stand der Technik. Während mit dem Cl&sub2;/BCl&sub3;-haltigen chemischen Mittel aus dem Stand der Technik beispielsweise eine TiN-Ätzrate von etwa 2500-3000 Ångström/Minute erreicht werden, kann die TiN-Schicht erfindungsgemäß mit Cl&sub2;/Ar/CHF&sub3; mit über 10.000 Ångström/ Minute geätzt werden. Verbessert sich die Ätzrate für die TiN-ARC-Schicht, erhöht sich der Waferdurchsatz.
  • Es wurde auch beobachtet, dass wenn das erste chemische Mittel (wie Cl&sub2;/Ar/CHF&sub3;) zum Durchätzen der TiN-ARC-Schicht eingesetzt wurde, weniger Erosionsgräben an der Grenzfläche entständen. Die Grenzflächen-Gräbenbildung betrifft die Gräben, die manchmal an der Grenzfläche zwischen der ARC-Schicht und der Metallisierungsschicht auftreten, wenn das chemische Mittel mit Cl&sub2;/BCl&sub3; aus dem Stand der Technik zum Durchätzen beider Schichten verwendet wird. Da die Grenzflächen-Gräbenbildung eine Abweichung von der gewünschten vertikalen Ätzseitenwand darstellt, ist die Tatsache, dass dies durch das Verwenden des erfindungsgemäßen ersten chemischen Mittels, z. B. Cl&sub2;/Ar/CHF&sub3;, im wesentlichen vermieden wird, ein Vorteil der vorliegenden Erfindung.
  • Danach kann das Hauptätzverfahren mit einem herkömmlichen zweiten chemischen Mittel zum Ätzen der verbleibenden Schichten durchgeführt werden. Das zweite chemische Mittel selbst kann jedes geeignete Ätzmittel und Beschusschemikalien zum Ätzen durch die Metallisierungsschicht umfassen. In einer Ausführungsform ist das zweite chemische Mittel eins aus der Gruppe aus Cl&sub2;/BCl&sub3;, Cl&sub2;/BCl&sub3;/CHF&sub3;, Cl&sub2;/BCl&sub3;/CHF&sub3;, Cl&sub2;/BCl&sub3;/HCl, Cl&sub2;/BCl&sub3;/N2 und Cl&sub2;/N&sub2;. Es wurde beispielsweise gefunden, dass ein Gemisch aus Cl&sub2;/BCl&sub3;/CHF&sub3; mit einem Fließvolumenverhältnis von 70 : 20 : 10 (in sccm) geeignet ist zum Ätzen durch eine Metallisierungsschicht, welche eine Legierung aus Aluminium mit etwa 0,5-1% Kupfer enthält.
  • Das Ätzen mit dem zweiten chemischen Mittel kann eingestellt werden, wenn die Metallisierungsschicht wenigstens teilweise durchgeätzt ist. Dann kann ein anderes chemisches Mittel verwendet werden, um durch die verbleibende Metallisierungsschicht zu ätzen. Weiter bevorzugt wird das Ätzen mit dem zweiten chemischen Mittel eingestellt, wenn festgestellt wird, dass die Metallisierungsschicht durchgeätzt wurde. Die Metallisierungsschicht besteht aus Aluminium oder einem seiner Legierungen, und der Endpunkt wird festgestellt, indem man überwacht, wann die optische Wellenlänge bei 261 nm im Plasma ansteigt, was darauf hinweist, dass im wesentlichen die gesamte Aluminium-Metallisierungsschicht entfernt worden ist. Das zweite chemische Ätzverfahren kann dann sofort eingestellt werden oder man lässt es für einen vorbestimmten Zeitraum fortfahren, z. B. wenige weitere Sekunden, um sicherzustellen, dass das Metallisierungsschichtmaterial vollständig entfernt ist.
  • Das zuvor genannte Cl&sub2;/Ar/CHF&sub3; wird zum Ätzen durch eine TiN-Sperrschicht verwendet, nachdem der Hauptätzschritt abgeschlossen ist.
  • Die Verwendung des erfindungsgemäßen ersten Mittels zum Ätzen durch eine titanhaltige Sperrschicht bietet mehrere wichtige Vorteile. Beispielsweise, wenn Cl&sub2;/Ar/CHF&sub3; zum Ätzen durch die TiN-Sperrschicht verwendet wird (nach dem herkömmlichen Hauptätzschritt), führt die hohe TiN-zu-Aluminium-Selektivität (z. B. bis zu etwa 4,6 : 1 in einem Fall) von Cl&sub2;/Ar/CHF&sub3;, sowie seine hohe TiN-Ätzrate vorteilhafterweise zu weniger Unterschneiden der Aluminiumseitenwand. In Gegensatz dazu leidet das herkömmliche Mittel Cl&sub2;/BCl&sub3; unter dem Nachteil einer langsameren TiN-Ätzrate und geringeren Selektivität für Aluminium. Diese nachteiligen Eigenschaften des herkömmlichen Mittels Cl&sub2;/BCl&sub3; führt nach dem Ätzen zu einem weniger vertikalen Aluminiumprofil.
  • Die schnellere TiN-Ätzrate des ersten erfindungsgemäßen chemischen Mittels, z. B. Cl&sub2;/Ar/CHF&sub3;, führt auch zu einem Anstieg der Waferdurchsatzrate, wenn es zum Ätzen durch die Sperrschicht verwendet wird. Ferner scheint die Verwendung von Cl&sub2;/Ar/CHF&sub3; zum Ätzen durch die TiN-Sperrschicht auch den Sperrfuß zu verringern. Ein Sperrfuß beschreibt das Vorliegen einer stufenartigen Struktur in der Sperrschicht am Fuß des Aluminiummerkmals nach dem Ätzen. Ein Sperrfuß wird auch manchmal im Stand der Technik beobachtet, wenn Cl&sub2;/BCl&sub3; zum Ätzen sowohl durch die Aluminium-Metallisierungsschicht als auch die TiN-Sperrschicht in engen Beabstandungen verwendet wird.
  • Es wird angenommen, dass das Phänomen des Sperrfußes im wesentlichen, durch das erfindungsgemäße Ätzverfahren vermieden wird, und zwar aufgrund der Tatsache, dass die TiN-Ätzrate des erfindungsgemäßen ersten Cl&sub2;/Ar/CHF&sub3;-Mittels (etwa 10.000 Ångström/Minute) besser mit der Aluminiumätzrate übereinstimmt (d. h. etwa 9.000 Ångström/Minute mit Cl&sub2;/BCl&sub3;) als die TiN-Ätzrate des TiN-Ätzmittels aus dem Stand der Technik (d. h. etwa 2.500-3.000 Ångström pro Minute mit Cl&sub2;/BCl&sub3;). Da ein Sperrfuß für eine Abweichung von den vertikal geätzten Seitenwänden und der Ebenheit des Grabenbodens darstellt, ist seine Vermeidung durch den Einsatz des erfindungsgemäßen Cl&sub2;/Ar/CHF&sub3;-Mittels ein Vorteil der vorliegenden Erfindung.
  • Ferner führt der Gebrauch des erfindungsgemäßen Cl&sub2;/Ar/CHF&sub3;-Mittels auch zu weniger. Oxidverlust während des Ätzens der Sperrschicht. Cl&sub2;/Ar/CHF&sub3; besitzt eine TiN-zu-Oxid- Selektivität von etwa 5 : 1, d. h. es ätzt etwa 5 mal schneller durch das TiN-Material als durch das Oxidmaterial. Im Gegensatz dazu haben herkömmliche chemische Mittel, wie Cl&sub2;/BCl&sub3; eine TiN-zu-Oxid-Selektivität von nur etwa 1,5 : 1 bis 2 : 1. Die höhere TiN-zu- Oxid-Selektivität ist besonders vorteilhaft zur Vermeidung eines übermäßigen Oxidverlusts in den, weiten Flächen, wenn der Sperr-Ätzschritt ausgedehnt wird, um sicherzustellen, dass alles Sperrschichtmaterial, selbst in den engen Räumen, entfernt wird.
  • Es wird spekuliert, dass folgende Mechanismen für den Erhalt der hierin beschriebenen vorteilhaften Ätzergebnisse verantwortlich sind. Möglicherweise liegt zu Beginn der ARC-Schicht-Ätzenstufen eine dünne maskierende Photoresistschicht-Auskleidung am Boden des engen Erosionsgraben vor. Diese dünne Photoresistschicht kann beispielsweise aus Photoresistrückständen bestehen, die in kleinen Räumen nach dem Photoresist- Musterungsschritt zurückgeblieben sind. Die Rückstände können vorliegen, da, obwohl das Photoresist angemessen aus dem Großteil der Flächen während des Photoresist- Musterns entfernt worden ist, es nicht vollständig aus den kleinen Räumen entfernt wurde. Dann das Vorliegen eines Edelgases in dem ersten chemischen Mittel, z. B. Argon, das physikalische Ätzen (d. h. das Beschießen) dieser dünnen Maskierungsschicht fördern, so dass das Ätzen in den kleinen Räumen etwa zum gleichen Zeitpunkt wie in den offenen Flächen initiiert wird. Damit wird die Ätzratenbelastung vorteilhaft verringert.
  • Das polymerbildende chemische Mittel, d. h. CHF&sub3;, liegt zusätzlich zu dem chemischen Mittel vor, welches für das Ätzen verantwortlich ist (z. B. Cl&sub2;). Auf diese Weise ätzt das erste chemische Mittel die darüber liegende. Schicht (z. B. die TiN-ARC-Schicht) weg, während gleichzeitig Polymer auf dieser darüber liegenden Schicht abgelagert wird. Es wird angenommen, dass die Verwendung eines polymerbildenden Mittels in dem ersten chemischen Mittel die breiteren Flächen mikromaskiert, d. h. dazu führt, dass maskierende Polymere in den breiteren Flächen mit einer schnelleren Rate abgelagert werden als in den engeren Räumen. Aufgrund des Mikromaskierungs-Phänomens kann die Ätzrate in den breiteren Flächen verlangsamt werden, wodurch sich der Unterschied zwischen der Ätzrate in den breiteren Flächen und in den engeren Flächen verringert.
  • Die Ätzrate kann angepasst werden, indem das Fließvolumenverhältnis des polymerbildenden Mittels in angemessener Weise modifiziert wird. Beispiel Weise kann man durch Erhöhen des Fließvolumenverhältnisses des polymerbildenden Mittel erreichen, dass die Ätzrate aufgrund einer stärkeren Polymerablagerung während des Ätzens dazu neigt abzusinken.
  • Es wird auch angenommen, dass das Vorliegen eines Edelgases, z. B. von Argon, die Dissoziierung von Cl&sub2; zu reaktiven Cl&spplus;-Teilchen fördert. Dort wo mehr reaktive Teilchen vorliegen erfolgt das Ätzen mit einer höheren Rate. Es wird auch angenommen, dass das Vorliegen eines Edelgases, z. B. Argon, zur Passivierung des Polymers führt, welches beispielsweise auf der Aluminiumseitenwand gebildet wird, beispielsweise während des Sperr-Ätzschritts, so dass seine Abstoßung gegenüber reaktiven Cl&spplus;-Teilchen ansteigt und dadurch die Affinität für diese Cl&spplus;-Teilchen für die Aluminiumseitenwand verringert wird. Folglich wandern reaktive Cl&spplus;-Teilchen leichter abwärts zu dem Boden des Erosionsgrabens, wo sie die Sperrschicht anisotroph und mit einer höheren Ätzrate ätzen.
  • Eine weitere mögliche Erklärung umfasst die Fähigkeit des Edelgases, z. B. Argon, die Oberflächendiffusion der reaktiven Cl&spplus;-Teilchen zu verändern, so dass diese leichter nach unten zum Boden des Erosionsgrabens wandern, anstelle dass sie an die. Aluminiumseitenwand haften.
  • Fig. 4 zeigt ein Ätzverfahren, worin das erfindungsgemäße erste chemische Mittel zum Ätzen durch die TiN-ARC-Schicht verwendet wird. Es versteht sich, dass vor dem Einsatz der hierin beschriebenen erfindungsgemäßen Ätzverfahren der Wafer für das Ätzen in einem herkömmlichen Vorätzschritt vorbereitet werden muss. Der Vorätzschritt kann beispielsweise das Klemmen des Wafers auf eine Spannvorrichtung, das Stabilisieren des Drucks innerhalb der Plasmaverarbeitungskammer und das Einleiten von kühlendem Heliumgas auf die Waferrückseite umfassen, um den Wärmeaustausch zwischen dem Wafer und der Spannvorrichtung zu erleichtern.
  • In Schritt 402 wird die TiN-ARC-Schicht wenigstens teilweise mit dem erfindungsgemäßen ersten chemischen Mittel geätzt. Das erste chemische Mittel ist eine Kombination aus TiN-Ätzmittel, Edelgas und, polymerbildendem Gas für das Ätzen durch die TiN-ARC-Schicht. Vorzugsweise ist das erste chemische Mittel Cl&sub2;/Ar/CHF&sub3; zum Ätzen durch die TiN-ARC-Schicht, und die Metallisierungsschicht umfasst vorzugsweise im wesentlichen Aluminium. In Schritt 404 werden die verbleibenden Schichten des Schichtstapels, einschließlich der Metallisierungsschicht und der Sperrschicht, mit einem herkömmlichen chemischen Mittel, z. B. Cl&sub2;/BCl&sub3;, geätzt.
  • Fig. 5 zeigt ein Ätzverfahren, worin das erfindungsgemäße erste chemische Mittel zum Ätzen durch die TiN-Sperrschicht verwendet wird. In Schritt 502 wird ein herkömmliches chemisches Mittel, z. B. Cl&sub2;/BCl&sub3;, verwendet, um durch beide Schichten, die ARC-Schicht und die Metallisierungsschicht, zu ätzen. In Schritt 504 wird das erfindungsgemäße erste chemische Mittel verwendet, um wenigstens teilweise durch die TiN-Sperrschicht zu ätzen. Das erste chemische Mittel ist eine "TiN-Ätzmittel/Edelgas/polymerbildendes Gas"-Kombination für das Ätzen durch die TiN-Sperrschicht. Vorzugsweise ist das erste chemische Mittel, mit dem vollständig durch die TiN-Sperrschicht geätzt wird, Cl&sub2;/Ar/CHF&sub3;.
  • Fig. 6 zeigt ein Ätzverfahren, worin das erfindungsgemäße chemische Mittel zum Ätzen durch beide Schichten, die TiN-ARC-Schicht und die TiN-Sperrschicht verwendet wird.
  • In Schritt 602, der analog zu Schritt 402 aus Fig. 4 ist, wird die TiN-ARC-Schicht mit dem ersten chemischen Mittel geätzt. In Schritt 604 wird der Hauptteil des Schichtstapels, einschließlich der Metallisierungsschicht (jedoch ausgenommen die TiN-Sperrschicht) mit einem herkömmlichen chemischen Mittel, z. B. Cl&sub2;/BCl&sub3;, durchgeätzt. In Schritt 606 wird die TiN-Sperrschicht mit dem ersten chemischen Mittel geätzt. Dieser Schritt 606 entspricht dem Schritt 504 aus Fig. 5.
  • Im Anschluss an das Ätzverfahren gemäß entweder Fig. 4, 5 oder 6 kann der Wafer in zusätzlichen Nachätzungsschritten, nach bekannten Verfahren, bearbeitet werden. Danach kann der fertige Wafer zu Plättchen geschnitten werden, welche dann zu IC-Chips verarbeitet werden können. Der erhaltene IC-Chip, z. B. die IC-Chips 352 aus Fig. 3, kann dann in eine elektronische Vorrichtung, z. B. alle gut bekannten gewerblich erhältlichen Geräte oder elektronische Gebrauchsgüter, einschließlich digitaler Computer, eingesetzt werden.
  • Als Beispiel zeigt nachstehende Tabelle 1 die etwaigen Verfahrensparameter, die für das erste Cl&sub2;/Ar/CHF&sub3;-Ätzmittel für einen 6-Inch-Wafers in einem TCPTM-9600-SE-Plasmareaktor geeignet sein können. In diesem Beispiel ist die Metallisierungs-Überzugsschicht eine Antireflektions-TiN-Schicht, welche über einer Aluminiumschicht liegt, die etwa 0,5% Kupfer enthält. In nachstehender Tabelle ist ein geeigneter ungefährer Bereich, ein bevorzugter ungefährer Bereich und ein weiter bevorzugter ungefährer Bereich für die Leistung der oberen Elektrode (in Watt), die Leistung der unteren Elektrode (in Watt) und die Fließraten (in Prozent, bezogen auf die Gesamtfließrate des Ätzmittels, es sei denn, es ist etwas anders angegeben) gezeigt. Andere Parameterwerte, die zum Ätzen hilfreich sein können, sind für den Fachmann leicht ersichtlich, sei es für den gleichen Wafer und die Antireflektions-Schicht oder für andere Wafer und Antireflektions-Schichten. TABELLE 1
  • Während die Erfindung anhand mehrerer bevorzugter Ausführungsformen beschrieben worden ist, gibt es Abweichungen und Veränderungen sowie Äquivalente davon, die zum erfindungsgemäßen Umfang gehören. Beispielsweise, obwohl das erfindungsgemäße chemische Mittei, z. B. Cl&sub2;/Ar/CHF&sub3;, besonders geeignet zum Ätzen der TiN-ARC- und/oder Sperrschichten ist, sollte daran gedacht werden, dass das erste chemische Mittel auch zum Ätzen einer TiN-Schicht des Waferstapels verwendet werden kann. Es sei auch erwähnt, dass es viele alternative Wege zum Durchführen der Verfahren und Vorrichtungen der vorliegenden Erfindung gibt. Die nachstehenden angefügten Ansprüche sind daher so zu verstehen, dass sie all solche Veränderungen, Abweichungen und Äquivalente, die in den Umfang der vorliegenden Erfindung fallen, umfassen.

Claims (3)

1. Ätzverfahren für einen Wafer-Stapel in einer Plasmaverarbeitungskammer, wobei der Wafer-Stapel eine gegebene Schicht, eine aluminiumhaltige Schicht und eine TiN-Grenzschicht enthält, die gegebene Schicht für eine erste Schicht, welche im wesentlichen aus TiN besteht, und eine zweite Schicht, welche im wesentlichen aus Ti besteht, steht, die gegebene Schicht auf die aluminiumhaltige Schicht aufgebracht wird und die aluminiumhaltige Schicht später auf die TiN-Grenzschicht aufgebracht wird, umfassend:
Ätzen wenigstens teilweise durch die gegebene Schicht mit einem ersten chemischen Verfahren, wobei das erste chemische Verfahren ein Ätzmittel, das zum Ätzen der gegebenen Schicht angepasst ist, ein Edelgas und ein polymerbildendes chemisches Mittel umfasst, wobei das polymerbildende chemische Mittel CHF&sub3; ist;
Ätzen wenigstens teilweise durch die aluminiumhaltige Schicht mit einem zweiten chemischen Verfahren, welches sich von dem ersten chemischen Verfahren unterscheidet; und
Ätzen wenigstens teilweise durch die TiN-Grenzschicht mit dem ersten chemischen Verfahren.
2. Verfahren nach Anspruch 1, worin das Ätzmittel Cl&sub2; ist.
3. Verfahren nach einem der vorstehenden Ansprüche, worin das Edelgas Argon ist.
DE69718142T 1996-02-15 1997-02-14 Verfahren zum ätzen von halbleiterscheiben Expired - Fee Related DE69718142T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/602,251 US6004884A (en) 1996-02-15 1996-02-15 Methods and apparatus for etching semiconductor wafers
PCT/US1997/002655 WO1997030472A1 (en) 1996-02-15 1997-02-14 Methods and apparatus for etching semiconductor wafers

Publications (2)

Publication Number Publication Date
DE69718142D1 DE69718142D1 (de) 2003-02-06
DE69718142T2 true DE69718142T2 (de) 2003-08-21

Family

ID=24410621

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69718142T Expired - Fee Related DE69718142T2 (de) 1996-02-15 1997-02-14 Verfahren zum ätzen von halbleiterscheiben

Country Status (7)

Country Link
US (1) US6004884A (de)
EP (1) EP0880799B1 (de)
JP (1) JP3957319B2 (de)
KR (2) KR100451487B1 (de)
DE (1) DE69718142T2 (de)
TW (1) TW287304B (de)
WO (1) WO1997030472A1 (de)

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US5883007A (en) * 1996-12-20 1999-03-16 Lam Research Corporation Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
US5846884A (en) * 1997-06-20 1998-12-08 Siemens Aktiengesellschaft Methods for metal etching with reduced sidewall build up during integrated circuit manufacturing
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US5904569A (en) * 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
WO1999027579A1 (en) 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
JP2985858B2 (ja) * 1997-12-19 1999-12-06 日本電気株式会社 エッチング方法
US6177337B1 (en) * 1998-01-06 2001-01-23 International Business Machines Corporation Method of reducing metal voids in semiconductor device interconnection
TW387098B (en) * 1999-01-11 2000-04-11 Mosel Vitelic Inc A method that can determine the quality of
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6531404B1 (en) * 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
WO2002015231A2 (en) * 2000-08-14 2002-02-21 Motorola, Inc. A method for patterning layers of semiconductor devices
JP3733021B2 (ja) * 2000-12-15 2006-01-11 シャープ株式会社 プラズマプロセス方法
TW503522B (en) * 2001-09-04 2002-09-21 Nanya Plastics Corp Method for preventing short circuit between metal conduction wires
KR100949004B1 (ko) * 2002-12-24 2010-03-23 동부일렉트로닉스 주식회사 Mim 구조의 커패시터 제조방법
US7682985B2 (en) * 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7815814B2 (en) * 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6817752B2 (ja) * 2016-09-09 2021-01-20 株式会社日立ハイテク エッチング方法およびエッチング装置
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4267012A (en) * 1979-04-30 1981-05-12 Fairchild Camera & Instrument Corp. Process for patterning metal connections on a semiconductor structure by using a tungsten-titanium etch resistant layer
US4740485A (en) * 1986-07-22 1988-04-26 Monolithic Memories, Inc. Method for forming a fuse
US4820611A (en) * 1987-04-24 1989-04-11 Advanced Micro Devices, Inc. Titanium nitride as an antireflection coating on highly reflective layers for photolithography
US4878994A (en) * 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
DE3842758A1 (de) * 1988-12-19 1990-06-21 Siemens Ag Verfahren zum aetzen einer dreilagigen verdrahtungsebene bei der herstellung integrierter halbleiterschaltungen
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4980018A (en) * 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
JP2519364B2 (ja) * 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
US5217570A (en) * 1991-01-31 1993-06-08 Sony Corporation Dry etching method
EP0647163B1 (de) * 1992-06-22 1998-09-09 Lam Research Corporation Plasmareinigungsverfahren zum entfernen von rückständen in einer plasmabehandlungskammer
US5256245A (en) * 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
US5326427A (en) * 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
US5387556A (en) * 1993-02-24 1995-02-07 Applied Materials, Inc. Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2
US5443941A (en) * 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
US5286675A (en) * 1993-04-14 1994-02-15 Industrial Technology Research Institute Blanket tungsten etchback process using disposable spin-on-glass
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP2809087B2 (ja) * 1994-02-15 1998-10-08 日本電気株式会社 配線形成方法
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5620615A (en) * 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
US5496762A (en) * 1994-06-02 1996-03-05 Micron Semiconductor, Inc. Highly resistive structures for integrated circuits and method of manufacturing the same
TW290717B (en) * 1994-10-28 1996-11-11 Advanced Micro Devices Inc Method to prevent formation of defects during multilayer interconnect processing
US5609775A (en) * 1995-03-17 1997-03-11 Chartered Semiconductor Manufacturing Pte Ltd. Dry etch process for titanium-tungsten films
US5550085A (en) * 1995-09-07 1996-08-27 Winbond Electronics Corp. Method for making a buried contact
US5772906A (en) * 1996-05-30 1998-06-30 Lam Research Corporation Mechanism for uniform etching by minimizing effects of etch rate loading

Also Published As

Publication number Publication date
KR100491199B1 (ko) 2005-05-25
WO1997030472A1 (en) 1997-08-21
EP0880799A1 (de) 1998-12-02
JP3957319B2 (ja) 2007-08-15
EP0880799B1 (de) 2003-01-02
JP2000504884A (ja) 2000-04-18
KR19990082633A (ko) 1999-11-25
TW287304B (en) 1996-10-01
DE69718142D1 (de) 2003-02-06
KR100451487B1 (ko) 2004-12-08
KR20040021612A (ko) 2004-03-10
US6004884A (en) 1999-12-21

Similar Documents

Publication Publication Date Title
DE69718142T2 (de) Verfahren zum ätzen von halbleiterscheiben
DE69935100T2 (de) Verfahren zur Ätzung einer Metallisierung mittels einer harten Maske
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE69835032T2 (de) Verbesserte methode eine oxidschicht zu ätzen
US5411631A (en) Dry etching method
DE68923247T2 (de) Verfahren zum Erzeugen eines Fotolackmusters.
DE69308822T2 (de) Verfahren zum Ätzen von Aluminium und dessen Legierungen unter Verwendung eines HC1, C1 und N2 enthaltenden Ätzmittels
DE69513758T2 (de) Ätzverfahren und Plasmareaktor zur Durchführung desselben
DE68928826T2 (de) Reaktives Ionenätzen von Silicium enthaltenden Materialien mittels Bromwasserstoff
DE4107006C2 (de)
US5772906A (en) Mechanism for uniform etching by minimizing effects of etch rate loading
DE69922658T2 (de) Verfahren zum Ätzen einer Aluminium enthaltenden Schicht
DE69534832T2 (de) Verbessertes Plasma-Ätzverfahren
DE69837112T2 (de) Verfahren und zusammensetzung zur entfernung von photoresist in der halbleiterfertigung
DE69416225T2 (de) Verfahren zur Trockenätzung
DE10224935A1 (de) Verfahren zum Ätzen von Öffnungen mit hohem Seitenverhältnis
DE69819023T2 (de) Methode, eine leitende schicht zu ätzen
DE4107329C2 (de)
WO1998028785A1 (en) Methods for improving photoresist selectivity and reducing etch rate loading
DE19860780A1 (de) Halbleitervorrichtung und Herstellungsverfahren einer Halbleitervorrichtung
DE69712080T2 (de) Herstellungsverfahren für eine halbleitervorrichtung
US5667630A (en) Low charge-up reactive ion metal etch process
DE102013111860A1 (de) Prozesswerkzeuge und Verfahren zur Bildung von Vorrichtungen unter Verwendung von Prozesswerkzeugen
DE102007009913B4 (de) Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika
DE10338292A1 (de) Verfahren zum Ätzen einer Metallschicht unter Verwendung einer Maske, Metallisierungsverfahren für eine Halbleitervorrichtung, Verfahren zum Ätzen einer Metallschicht und ein Ätzgas

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee