DE69534832T2 - Verbessertes Plasma-Ätzverfahren - Google Patents

Verbessertes Plasma-Ätzverfahren Download PDF

Info

Publication number
DE69534832T2
DE69534832T2 DE69534832T DE69534832T DE69534832T2 DE 69534832 T2 DE69534832 T2 DE 69534832T2 DE 69534832 T DE69534832 T DE 69534832T DE 69534832 T DE69534832 T DE 69534832T DE 69534832 T2 DE69534832 T2 DE 69534832T2
Authority
DE
Germany
Prior art keywords
layer
chamber
substrate
etching
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69534832T
Other languages
English (en)
Other versions
DE69534832D1 (de
Inventor
Virinder S. Fishkill Grewal
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qimonda AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE69534832D1 publication Critical patent/DE69534832D1/de
Application granted granted Critical
Publication of DE69534832T2 publication Critical patent/DE69534832T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

  • Die vorliegende Erfindung betrifft ein verbessertes Ätzverfahren. Die vorliegende Erfindung betrifft insbesondere ein verbessertes Plasma-Ätzverfahren für Gatestapel, mit denen man ein anisotropes Ätzen mit hoher Selektivität erhält.
  • ALLGEMEINER STAND DER TECHNIK
  • Bei der Herstellung von Transistoren in einem Halbleitersubstrat wie etwa einem Siliziumwafer sind mehrere Schritte erforderlich, um die Gate-Elektroden der Transistoren auszubilden. Eine erste Schicht aus einem Gateoxid, z. B. Siliziumoxid, wird über dem Siliziumsubstrat abgeschieden, um es zu schützen. Als nächstes wird eine leitende dotierte Polysiliziumschicht abgeschieden, auf die wahlweise eine Schicht aus einem leitenden Material folgt, etwa einem hochtemperaturbeständigen Metallsilizid. Eine Kappenschicht aus Siliziumoxid oder Siliziumnitrid, bevorzugt ein Siliziumoxid, erzeugt durch die chemische Dampfabscheidung aus Tetraethoxysilan (TEOS), wird ebenfalls abgeschieden.
  • Ein typischer Gatestapel ist in 1A gezeigt, wobei ein Siliziumwafer 12 eine Schicht aus Gate-Oxid 13 darauf aufweist, gefolgt von einer ersten Gateschicht aus leitendem, stark dotiertem Polysilizium 14. Eine zweite Gateschicht 15 aus einem hochtemperaturbeständigen Metallsilizid wie etwa Titansilizid oder Wolframsilizid und eine Kappenschicht 16 aus Siliziumoxid werden ebenfalls abgeschieden.
  • Der Gatestapel muss nun geätzt werden, um eine Gate-Elektrode zwischen den Bereichen in dem Substrat auszubilden, in denen die Source-Elektrode und die Drain-Elektrode ausgebildet werden. Die Siliziumoxid-Hartmaskenschicht 16 wird mit einem Fotolack beschichtet, der Fotolack wird strukturiert und die Siliziumoxidschicht auf herkömmliche Weise geätzt, um eine „Hartmaske" auszubilden. Geeigneterweise ist das Ätzmittel für die Hartmaske ein fluorhaltiges Gas wie etwa CHF3, C2F6, CF4 und dergleichen.
  • 1B veranschaulicht den Gatestapel, nachdem er geätzt worden ist, wobei die gleichen Zahlen wie in 1A für die gleichen Schichten verwendet werden. Die Siliziumoxid-Hartmaske und die Gateoxidschicht bleiben, und die beiden leitenden Schichten werden geätzt.
  • Da Bauelemente kleiner werden und näher beieinander platziert werden, werden die Gate-Elektroden entsprechend dünner und schmaler. Somit sind Nassätztechniken zum größten Teil durch Trockenätzen ersetzt worden, insbesondere Plasmaätzen, weil Plasmaätzen anisotroper ist und die Gate-Elektrode, insbesondere an ihrem Schnittpunkt mit dem Substrat, nicht unterschneidet. Ausserdem können Plasmaätzmittel verwendet werden, die hochselektiv sind; das heisst, sie ätzen bestimmte Materialien schneller als andere. Im Fall eines Gatestapels muss das Ätzmittel die Silizidschicht und die Polysiliziumschicht ätzen, aber nicht Siliziumoxid; somit bleiben die Kappenschichten und die anfänglichen Gateoxidschichten intakt, wie in 1B gezeigt. Plasmabearbeitung wird ebenfalls favorisiert, weil das Verarbeiten bei vergleichsweise niedrigen Temperaturen durchgeführt werden kann, die das Substrat oder zuvor ausgebildete Bauelemente nicht beschädigen.
  • Es sind verschiedene Vakuumkammern entworfen worden, um das Plasmaätzen durchzuführen. Ein bestimmtes Design wurde von Ogle in dem US-Patent 4,948,458 offenbart. Die Ätzvorrichtung bildet ein magnetisch gekoppeltes planares Plasma zur Behandlung eines Substrats wie etwa einen Siliziumwafer. Diese Vorrichtung umfaßt eine Kammer mit einem dielektrischen Fenster. Eine planare Spule ist in der Nähe des Fensters befestigt, und eine HF-Stromquelle ist an die Spule gekoppelt, im Allgemeinen durch eine Impedanzanpassungsschaltung, um die Leistungsübertragung zu maximieren, und eine Zeitsteuerschaltung, um eine Resonanz bei der Arbeitsfrequenz, in der Regel 13,56 MHz, zu liefern. Prozessgas wird der Kammer durch einen Einlassport zugeführt. Wenn die HF-Leistung eingeschaltet ist, wird ein planares Magnetfeld induziert, das durch das dielektrische Fenster in das Innere der Kammer reicht. Somit wird ein zirkulierender Fluss von Elektronen in der Kammer in einer Ebene parallel zu der planaren Spule induziert, wodurch die Übertragung von kinetischer Energie in nichtplanaren Richtungen begrenzt wird.
  • Eine Oberflächenstütze in der Kammer stützt das zu verarbeitende Substrat parallel zu der Ebene der Spule und somit zum Plasma. Da die Plasmaspezies in nichtplanaren Richtungen eine geringe Geschwindigkeit aufweisen, ist der kinetische Aufprall von Ionenspezies auf dem Substrat gering und die vorwiegende Reaktion ist eine chemische Reaktion zwischen den Plasmaspezies und den Schichten auf dem Substrat. Diese Reaktion findet bei niedrigen Temperaturen und niedrigen Drücken statt.
  • Eine ausführliche Beschreibung der obigen Vorrichtung ist in den 24 gezeigt. Unter Bezugnahme auf die 2, 3 und 4 enthält ein Plasmabehandlungssystem 100, das sich für das Ätzen individueller Halbleiterwafer 110 eignet, eine Kammer 112 mit einem Zugangsport 114, der in einer oberen Wand 116 ausgebildet ist. Ein dielektrisches Fenster 118 ist unter der oberen Wand 116 angeordnet und erstreckt sich über den Zugangsport 114 hinweg. Das dielektrische Fenster 118 ist an der Wand 116 abgedichtet, um ein vakuumabgedichtetes Inneres 119 der Kammer 112 zu definieren. Eine planare Spule 120 ist neben dem dielektrischen Fenster 118 befestigt. Die Spule 120 ist als eine Spirale mit einem Mittenabgriff 122 und einem Aussenabgriff 124 ausgebildet. Die Ebene der Spule 120 ist sowohl parallel zu dem dielektrischen Fenster 118 als auch der Stützoberfläche 113 ausgebildet, auf der der Wafer 110 während des Ätzens befestigt ist. Die Spule 120 kann innerhalb des Inneren 119 der Kammer 112 ein planares Plasma erzeugen, das parallel zum Wafer 110 ist. Ein geeigneter Abstand zwischen der Spule 120 und der Stützoberfläche 113 kann etwa 5–10 cm betragen.
  • Ein Hochfrequenzgenerator (HF) 130 führt zu einem koaxialen Kabel 132 und zu einer Anpassungsschaltung 134. Die Anpassungsschaltung 134 enthält eine Primärspule 136 und eine Sekundärschleife 138, die so positioniert werden können, dass die effektive Kopplung der Schaltung eingestellt wird und eine Belastung der Schaltung bei der Arbeitsfrequenz gestattet wird. Die Primärspule 136 kann auf einer Scheibe 140 befestigt sein, die um eine vertikale Achse 142 gedreht werden kann, um die Kopplung einzustellen. Ein veränderlicher Kondensator 144 ist in Reihe mit der Sekundärschleife 138 geschaltet, um die Resonanzfrequenz des Kreises mit der Frequenzausgabe des HF-Generators 130 einzustellen. Die Impedanzanpassung maximiert die Effizienz der Leistungsübertragung auf die planare Spule 120. Ein zusätzlicher Kondensator 146 ist im Primärkreis vorgesehen, um einen Teil des induktiven Blindwiderstands der Primärspule 136 in der Schaltung aufzuheben.
  • Ein zweites HF-Potential von einer Quelle 172 wird an die Waferstütze 113 angelegt. Dieser HF-Generator 172 kann bei einer niedrigen Frequenz (unter etwa 550 kHz) oder bei einer hohen Frequenz (13,56 MHz) arbeiten, doch wird im Allgemeinen eine niedrige Frequenz eingesetzt. Die Frequenz des HF-Generators 172 und die des ersten HF-Generators 130 sind im Allgemeinen verschieden und liefern einen Resonanzstromfluss in der Spule 120. Wenn der primäre HF-Generator 130 bei 13,56 MHz arbeitet, arbeitet somit der zweite HF-Generator 172 geeigneterweise beispielsweise bei 400 kHz. Diese Konfiguration aus zwei HF-Stromquellen gestattet eine Steuerung der Energiemenge, die durch den primären HF-Generator 130 in das System 100 eingeführt wird, und eine Steuerung der Leistungsabgabe des HF-Generators 172 gestattet eine Steuerung der Geschwindigkeit, die den reaktiven Spezies in dem Plasma gegeben wird.
  • Um die Dichte des Plasmas zu maximieren und dadurch die Zeit zu reduzieren, die erforderlich ist, um einen Ätzschritt durchzuführen, wird eine vergleichsweise hohe Leistung in der Größenordnung von 300–600 Watt an die planare Spule 120 angelegt. Eine niedrigere Leistung von etwa 75–300 Watt wird an die Substratstütze 113 angelegt.
  • Somit erhält man durch höhere Leistung schnelle Ätzraten bei einem niedrigen Druck in der Kammer, d. h. 1 Torr oder weniger. Da die kinetische Energie von Ionen in einem derartigen Plasma gering ist, kommt es somit zu weniger Beschädigung am Wafer.
  • Es hat sich jedoch herausgestellt, dass das Laden der in der obigen planaren Spulenvorrichtung verarbeiteten Wafer ein Problem ist. Eine Nettoladung an der Substratspitze 113 wird auf den verarbeiteten Wafer 110 übertragen. Es wird angenommen, dass diese Nettoladung durch Ungleichförmigkeiten in dem Plasma verursacht wird. Weiter wird die Selektivität von halogenhaltigen Ätzmitteln wie etwa Chlor durch eine hohe Ionendichte in dem Plasma reduziert. Das hochdichte Plasma kann auch zu dem Unterschneiden des zu ätzenden Waferstapels führen. Beispielsweise enthält ein hochdichtes Plasma notwendigerweise eine hohe Dichte von Radikalspezies, die seitlich sowie horizontal ätzen und ein Unterschneiden der Gate-Elektroden verursachen, wie in 5 gezeigt, weiter unten weiter erörtert. Um dieses Unterschneiden weiter zu reduzieren, ist es üblich geworden, während der weiteren Plasmabearbeitung für einen Seitenwandschutz der Gate-Elektrode zu sorgen. Um ein seitliches Ätzen und Unterschneiden des geätzten Gatestapels zu verhindern, insbesondere an der Basis des Gatestapels, ist auch bekannt, im Verlauf des Ätzens ein Plasma zu erzeugen, das zusätzlich zu dem Ätzen des Gatestapels auch einen Schutzfilm auf den frisch geätzten Seitenwandoberflächen abscheidet. Die Ausbildung derartiger Schichten, die beispielsweise polymer sein können, verbessert die Anisotropie des Ätzens und verhindert ein Unterschneiden. Die Dicke dieser Seitenwandabscheidung ist jedoch schwierig zu steuern; wenn sie zu dünn ist, schützt sie nicht die geätzten Seitenwände; und wenn sie zu dick ist, beansprucht sie mehr Raum auf dem Substrat und beeinträchtigt die kritischen Abmessungen der Gate-Elektroden und ihrer Bauelemente. Dies ist für Submikrometer-Designregeln inakzeptabel.
  • Um in der Vorrichtung von 24 einen Ätzschritt auszuführen, wird durch einen in der Seite der Kammer 110 ausgebildeten Port 150 ein Gas in die Kammer 112 eingeleitet. Ein Vakuumaustrittsystem 152 hält den Druck in dem System aufrecht und evakuiert flüchtige Nebenprodukt- und nicht umgesetzte Gase.
  • Die Europäische Patentanmeldung EP-A-0-489407 beschreibt verschiedene Prozesse für das Ätzen eines Halbleiterwafers in einer Plasmaätzkammer. Das Dokument enthält mehrere Tabellen, die ein bestimmtes zu ätzendes Substrat spezifizieren. Je nach der Leistung für die Antenne und für die Vorspannung können verschiedene Ätzchemien verwendet werden. Beispielsweise kann für das Ätzen von Polysilizium über Oxid (Tabelle 4) eine Ätzchemie auf Chlorbasis verwendet werden.
  • Das US-Patent US-A-4 916,508 zeigt einen Schichtstapel aus einem Metallsilizid über Polysilizium für die Gate-Elektrode eines Feldeffekttransistors. Das Silizid kann mit Titan sein.
  • Somit wäre ein verbessertes Verfahren zum Ätzen von Gatestapeln mit guten Ätzraten und guter Selektivität, aber verbesserter Anisotropie, höchst wünschenswert.
  • KURZE DARSTELLUNG DER ERFINDUNG
  • Es hat sich herausgestellt, dass die Verwendung von geringer Leistung in einer Vakuumkammer mit planarer Spule ein Plasma erzeugt, das sehr gleichförmig ist. Die Verwendung einer Vorläufergasmischung aus Chlor, Chlorwasserstoff, Stickstoff und Sauerstoff erzeugt ein Plasma, das selektiv ist, und verhindert ein Unterschneiden von Gatestapeln während des Ätzens. Anspruch 1 definiert ein Verfahren, das diese Merkmale kombiniert, um ein selektives Ätzen von hochtemperaturbeständigen Metallsilizid- und Polysiliziumschichten bezüglich eines Gateoxids zu erzielen.
  • Anisotropes Ätzen verschiedener Gatestapel kann mit hoher Uniformität und hoher Selektivität, die in der Lage ist, Submikrometer-Linien und -Abstände zu bilden, erreicht werden.
  • KURZE BESCHREIBUNG DER ZEICHNUNG
  • 1A ist eine Querschnittsansicht eines Substrats mit verschiedenen Gatestapelschichten darauf.
  • 1B ist eine Querschnittsansicht des Substrats von 1A nach dem Ätzen zum Ausbilden eines Gatestapels.
  • 2 ist eine isometrische Ansicht einer Vorrichtung zum Herstellen eines planaren Plasmas.
  • 3 ist eine Querschnittsansicht der Vorrichtung von 2.
  • 4 ist eine schematische Ansicht der Schaltung der Vorrichtung von 23, die ein Hochfrequenzpotential in einer Richtung senkrecht zu einer Resonanzspule liefert.
  • 5 ist eine Querschnittsansicht eines Substrats mit einem geätzten Gatestapel, der über ein Verfahren nach dem Stand der Technik hergestellt worden ist.
  • 68 sind Mikroaufnahmen von gemäß dem Prozeß der Erfindung ausgebildeten geätzten Gatestapeln.
  • AUSFÜHRLICHE BESCHREIBUNG DER ERFINDUNG
  • Gatestapel können auf einem mit Siliziumoxid beschichteten Siliziumsubstrat hergestellt werden, indem beispielsweise eine erste Schicht aus einem dotierten Polysilizium auf ein Substrat abgeschieden wird, etwa durch Sputtern eine hochtemperaturbeständige Metallsiliziumschicht wie etwa TiSi oder WSi abgeschieden wird und über dem Silizid eine TEOS-Siliziumoxidkappe oder Hartmaske abgeschieden wird, siehe die obige Erörterung bezüglich der 1A und 1B.
  • Als der obige Gatestapel in einer Vorrichtung der 24 unter Verwendung eines konventionellen Ätzgases aus Chlor (etwa 50 Norm-Kubikzentimeter (sccm)), Stickstoff (etwa 2–3 sccm) und Sauerstoff (etwa 2–7 sccm) geätzt und mit Hilfe von HF-Quellen eine relativ hohe Leistung von 300–600 Watt zu der planaren Spule und etwa 75–300 Watt zu der Substratstütze erzeugt wurde, wurde das Substrat während des Ätzens geladen, und das Ätzprofil zeigte eine Unterschneidung.
  • 5 zeigt das Profil des obigen geätzten Gatestapels, wobei die Zahlen für die verschiedenen Schichten die gleichen sind für gleiche Materialien wie für die 1A und 1B. 5 veranschaulicht, dass eine inadäquate Seitenwandpassivierung stattgefunden hat, was zu einem Unterschneiden sowohl der Silizidschicht 15 als auch der Polysiliziumschicht 14 führte. Das Unterschneiden der Polysiliziumschicht 14 ist am ausgeprägtesten und kann möglicherweise auf eine Zunahme der Aktivität von Plasmaradikalen kurz vor dem Ende des Ätzschritts zurückzuführen sein.
  • Gemäß dem Verfahren der vorliegenden Erfindung wurden Gatestapel in der Vorrichtung von 24 unter Verwendung eines Vorläuferätzgases aus HCl (20–100 sccm), Chlor (20–100 sccm), Stickstoff (2–5 sccm) und Sauerstoff (2–5 sccm) geätzt. Stickstoff und Sauerstoff werden zur Profilsteuerung und Seitenwandpassivierung zugesetzt. Der Zusatz von Sauerstoff verbessert auch die Selektivität der Plasma-Vorläuferätzgasmischung gegenüber Gateoxid. Die übertragene Leistung auf die planare Spule betrug 0–200 Watt, und die Leistung zu der Substratstütze betrug 50–200 Watt. Es wurde ein Ätzverhältnis von 1:1 zwischen den Silizid- und den Polysiliziumätzraten erzielt. Die Ätzgleichförmigkeit über einen 8-inch-Wafer (1 Inch = 2,54 cm) hinweg war besser als 5%. Eine Ätzselektivität gegenüber Gateoxid von 50–100 kann ohne weiteres für 50 Nanometer-Linien und -Abstände erreicht werden, wenn das obige Verfahren verwendet wird.
  • 6 ist eine Mikroaufnahme eines geätzten Gatestapels, der TEOS-Siliziumoxid über n-dotiertem Polysilizium umfasst. Es ist offensichtlich, dass die Ätzung anisotrop ohne Unterschneidung ist.
  • 7 ist eine Mikroaufnahme eines weiteren Gatestapels, der unter Verwendung des Verfahrens der Erfindung geätzt wurde, außer dass der Gatestapel eine erste Schicht aus Polisilizium enthält, mit einer zweiten gesputterten Wolframsilizidschicht überschichtet und einer TEOS-Siliziumoxidkappenschicht über der Silizidschicht. Wieder ist die Ätzung sehr anisotrop mit nur einem sehr geringfügigen Unterschneiden der Polysiliziumschicht.
  • 8 ist eine Mikroaufnahme noch eines weiteren Gatestapels, der gemäß dem Verfahren der Erfindung geätzt wurde, außer dass dieser Gatestapel eine erste Schicht aus Polysilizium aufweist, mit einer gesputterten Titansilizidschicht überschichtet ist und einer TEOS-Siliziumoxidkappenschicht darüber. Auch diese Ätzung ist stark anisotrop mit nur einem geringen Ausmaß an Unterschneidung der Polysiliziumschicht.
  • Obwohl die Erfindung im Hinblick auf spezifische Ausführungsformen in der Spezifikation beschrieben worden ist, kann der Fachmann ohne weiteres verschiedene Reaktionsbedingungen, Gateschichten, modifizierte Vorrichtungen und dergleichen substituieren.

Claims (6)

  1. Verfahren zum Ätzen eines Substrats (110) in einem Plasma, mit den folgenden Schritten: – Anordnen eines zu ätzenden Substrats in einer Vakuumkammer (112), wobei das Substrat ein Siliziumsubstrat (12) mit einer Schicht aus einem Gateoxid (13) darauf enthält und eine Schicht aus dotiertem Polysilizium (14), eine Schicht aus hochtemperaturbeständigem Metallsilizid (15) und eine über die Schicht aus einem Gateoxid (13) angeordnete Schicht aus einer Siliziumoxidhartmaske (16); – Einleiten von Ätzgasen in die Kammer (12) während in der Kammer ein vorgewählter Druck aufrecht erhalten wird, wobei die Ätzgase Chlorwasserstoff, Chlor, Stickstoff und Sauerstoff umfassen; – einen Hochfrequenzstrom in einer planaren Spule (120) in Schwingung versetzen, die sich außerhalb von und neben einem dielektrischen Fenster (118) befindet, das in einer Wand (116) der Kammer (112) montiert ist, um ein planares Plasma im Wesentlichen parallel zu der Spule (120) innerhalb der Kammer (112) auszubilden; – Anlegen eines Hochfrequenzpotentials an die planare Spule (120) und eine Substratstütze (110) in der Kammer (112); – wobei Plasmaionen und -radikale in einer Richtung senkrecht zu dem planaren Substrat (110) beschleunigt werden; – Begrenzen der Leistung zu der planaren Spule (120) auf zwischen 0 und 200 Watt und Begrenzen der Leistung zu der Substratstütze (113) auf zwischen 50 und bis 200 Watt während des Ätzens; – Ätzen der Schichten aus Metallsilizid (15) und aus dotiertem Polysilizium (14) selektiv bezüglich der Schicht aus Gateoxid (13).
  2. Verfahren nach Anspruch 1, wobei die Hartmaskenschicht (16) eine Siliziumoxidschicht ist,
  3. Verfahren nach Anspruch 1 oder 2, wobei die Metallsilizidschicht (15) eine Titansilizidschicht oder eine Wolframsilizidschicht ist.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei das Substrat (110) ein Halbleiterwafer (12) mit einem Durchmesser von 20,32 cm (8 Inch) ist.
  5. Verfahren nach einem der Ansprüche 1 bis 4, mit den folgenden Schritten – Anlegen eines Hochfrequenzsignals an die planare Spule (120) und – Anlegen eines Hochfrequenzsignals an die Substratstütze (113).
  6. Verfahren nach einem der Ansprüche 1 bis 5, mit dem Schritt des Einleitens von Chlorwasserstoff mit einer rate zwischen 20 und 100 sccm, Chlor mit einer Rate zwischen 20 und 100 sccm, Stickstoff mit einer Rate zwischen 2 und 5 sccm und Sauerstoff mit einer Rate zwischen 2 und 5 sccm in die Ätzkammer.
DE69534832T 1994-12-22 1995-11-03 Verbessertes Plasma-Ätzverfahren Expired - Lifetime DE69534832T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US362398 1994-12-22
US08/362,398 US5591301A (en) 1994-12-22 1994-12-22 Plasma etching method

Publications (2)

Publication Number Publication Date
DE69534832D1 DE69534832D1 (de) 2006-05-04
DE69534832T2 true DE69534832T2 (de) 2006-09-28

Family

ID=23425970

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69534832T Expired - Lifetime DE69534832T2 (de) 1994-12-22 1995-11-03 Verbessertes Plasma-Ätzverfahren

Country Status (7)

Country Link
US (1) US5591301A (de)
EP (1) EP0718876B1 (de)
JP (1) JPH08236513A (de)
KR (1) KR100413894B1 (de)
AT (1) ATE320082T1 (de)
DE (1) DE69534832T2 (de)
TW (1) TW410401B (de)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
KR100230981B1 (ko) * 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5880033A (en) * 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
US6008139A (en) * 1996-06-17 1999-12-28 Applied Materials Inc. Method of etching polycide structures
US6562724B1 (en) * 1997-06-09 2003-05-13 Texas Instruments Incorporated Self-aligned stack formation
CA2307213A1 (en) * 1997-10-24 1999-05-06 Richard J. Fugo Method of plasma incision of matter with a specifically tuned radiofrequency electromagnetic field generator
US6479785B1 (en) 1998-07-09 2002-11-12 Richard J. Fugo Device for plasma incision of mater with a specifically tuned radiofrequencty electromagnetic field generator
US5958266A (en) * 1997-10-24 1999-09-28 Fugo; Richard J. Method of plasma incision of matter with a specifically tuned radiofrequency electromagnetic field generator
JPH11145113A (ja) * 1997-11-13 1999-05-28 Nec Corp エッチング方法
EP0932190A1 (de) * 1997-12-30 1999-07-28 International Business Machines Corporation Plasmaätzverfahren der Wolframsilicidschicht bei der Herstellung von mehrschichtleitfähigem Gitter
US6787730B2 (en) 1998-07-09 2004-09-07 Damian Coccio Device for plasma incision of matter with a specifically tuned radiofrequency electromagnetic field generator
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
TW501199B (en) 1999-03-05 2002-09-01 Applied Materials Inc Method for enhancing etching of TiSix
US6261967B1 (en) 2000-02-09 2001-07-17 Infineon Technologies North America Corp. Easy to remove hard mask layer for semiconductor device fabrication
EP1156519A1 (de) * 2000-05-16 2001-11-21 Semiconductor 300 GmbH & Co. KG Gateätzverfahren für 12 Zoll-Wafern
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US6642584B2 (en) * 2001-01-30 2003-11-04 International Business Machines Corporation Dual work function semiconductor structure with borderless contact and method of fabricating the same
WO2008021321A2 (en) 2006-08-17 2008-02-21 Rjf Holdings Iv, Inc Method and apparatus for plasma incision of cardiovascular tissue
KR100927398B1 (ko) * 2007-06-26 2009-11-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20120244693A1 (en) * 2011-03-22 2012-09-27 Tokyo Electron Limited Method for patterning a full metal gate structure
US8946002B2 (en) * 2012-07-24 2015-02-03 Semiconductor Components Industries, Llc Method of forming a semiconductor device having a patterned gate dielectric and structure therefor
KR101582542B1 (ko) * 2014-08-19 2016-01-05 국방과학연구소 대면적 플라즈마를 이용한 전자기파 감쇠 장치

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4030967A (en) * 1976-08-16 1977-06-21 Northern Telecom Limited Gaseous plasma etching of aluminum and aluminum oxide
US4253907A (en) * 1979-03-28 1981-03-03 Western Electric Company, Inc. Anisotropic plasma etching
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4444618A (en) * 1983-03-03 1984-04-24 General Electric Company Processes and gas mixtures for the reactive ion etching of aluminum and aluminum alloys
US5112435A (en) * 1985-10-11 1992-05-12 Applied Materials, Inc. Materials and methods for etching silicides, polycrystalline silicon and polycides
JPS62162362A (ja) * 1986-01-10 1987-07-18 Mitsubishi Electric Corp Mos型集積回路及びその製造方法
US4789426A (en) * 1987-01-06 1988-12-06 Harris Corp. Process for performing variable selectivity polysilicon etch
JPS63215076A (ja) * 1987-03-04 1988-09-07 Matsushita Electric Ind Co Ltd 半導体集積回路装置
JPS63238288A (ja) * 1987-03-27 1988-10-04 Fujitsu Ltd ドライエツチング方法
US4838992A (en) * 1987-05-27 1989-06-13 Northern Telecom Limited Method of etching aluminum alloys in semi-conductor wafers
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP2673380B2 (ja) * 1990-02-20 1997-11-05 三菱電機株式会社 プラズマエッチングの方法
KR0176715B1 (ko) * 1990-07-30 1999-04-15 오가 노리오 드라이에칭방법
US5259922A (en) * 1990-08-14 1993-11-09 Matsushita Electric Industrial Co., Ltd. Drying etching method
JPH04196529A (ja) * 1990-11-28 1992-07-16 Toshiba Corp プラズマ処理装置
JP2519364B2 (ja) * 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5201990A (en) * 1991-05-23 1993-04-13 Applied Materials, Inc. Process for treating aluminum surfaces in a vacuum apparatus
JPH0582481A (ja) * 1991-09-18 1993-04-02 Nippon Telegr & Teleph Corp <Ntt> ガーネツト膜加工方法
US5323053A (en) * 1992-05-28 1994-06-21 At&T Bell Laboratories Semiconductor devices using epitaxial silicides on (111) surfaces etched in (100) silicon substrates
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5449433A (en) * 1994-02-14 1995-09-12 Micron Semiconductor, Inc. Use of a high density plasma source having an electrostatic shield for anisotropic polysilicon etching over topography

Also Published As

Publication number Publication date
KR960026340A (ko) 1996-07-22
US5591301A (en) 1997-01-07
ATE320082T1 (de) 2006-03-15
DE69534832D1 (de) 2006-05-04
EP0718876A3 (de) 1998-05-20
KR100413894B1 (ko) 2004-04-21
JPH08236513A (ja) 1996-09-13
EP0718876A2 (de) 1996-06-26
EP0718876B1 (de) 2006-03-08
TW410401B (en) 2000-11-01

Similar Documents

Publication Publication Date Title
DE69534832T2 (de) Verbessertes Plasma-Ätzverfahren
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE69835032T2 (de) Verbesserte methode eine oxidschicht zu ätzen
DE69628358T2 (de) Plasmaätzmethode
US7375036B2 (en) Anisotropic etch method
US5169487A (en) Anisotropic etch method
DE69909248T2 (de) Verfahren zur verminderung der erosion einer maske während eines plasmaätzens
US6797189B2 (en) Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
DE69531880T2 (de) Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5256245A (en) Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
US6602434B1 (en) Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
KR100530246B1 (ko) 자체 세정가능한 에칭 공정
US6387287B1 (en) Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US4496448A (en) Method for fabricating devices with DC bias-controlled reactive ion etching
US6037265A (en) Etchant gas and a method for etching transistor gates
DE69626562T2 (de) Verfahren zum isotropen Ätzen von Silizium, das hochselektiv gegenüber Wolfram ist
JP2006501634A (ja) 基板をエッチングするための方法及び装置
EP0954877B1 (de) Methode zur verringerung von plasmainduzierten aufladungsschäden
US20030000913A1 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
DE69819023T2 (de) Methode, eine leitende schicht zu ätzen
US6410451B2 (en) Techniques for improving etching in a plasma processing chamber
US5271799A (en) Anisotropic etch method
US6544429B1 (en) Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
JPH11186229A (ja) ドライエッチング方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
8327 Change in the person/name/address of the patent owner

Owner name: INFINEON TECHNOLOGIES AG, 81669 MUENCHEN, DE

8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: QIMONDA AG, 81739 MUENCHEN, DE