DE69628358T2 - Plasmaätzmethode - Google Patents

Plasmaätzmethode Download PDF

Info

Publication number
DE69628358T2
DE69628358T2 DE69628358T DE69628358T DE69628358T2 DE 69628358 T2 DE69628358 T2 DE 69628358T2 DE 69628358 T DE69628358 T DE 69628358T DE 69628358 T DE69628358 T DE 69628358T DE 69628358 T2 DE69628358 T2 DE 69628358T2
Authority
DE
Germany
Prior art keywords
layer
gas
etching
sio
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69628358T
Other languages
English (en)
Other versions
DE69628358D1 (de
Inventor
Koichiro Inazawa
Shin Kofu-shi Okamoto
Hisataka Hayashi
Takaya Yokkaichi-shi Matsushita
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of DE69628358D1 publication Critical patent/DE69628358D1/de
Application granted granted Critical
Publication of DE69628358T2 publication Critical patent/DE69628358T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Description

  • Die vorliegende Erfindung bezieht sich auf ein Plasmaätzverfahren und ist auf ein Verfahren zum Ätzen einer SiO2-Schicht bei einem höheren Auswahlverhältnis bezüglich einer SiN-Schicht anwendbar.
  • Um eine Halbleitervorrichtung herzustellen, wird Ätzen zum Ausbilden eines gewünschten feinen Musters auf einem dünnen Film, der auf einem Wafer geschichtet wird, verwendet.
  • Wenn ein Kontaktloch oder ein Schaltungsmuster durch Ätzen in einem dünnen SiO2-Film als ein typisches isolierendes Material für eine Vorrichtung auszubilden ist, wird ein Trockenätzverfahren zum Durchführen vom Ätzen in einer Plasmaatmosphäre verwendet. In diesem Verfahren wird ein Gasgemisch einschließlich H2, wie etwa CF4 + H2 Gas, oder ein Gasgemisch aus einem CHxFy-basierten Gas mit H-Bindungen und CO2 oder CO-Gas, wie etwa CH2F2 + CO2 Gas oder CHF3 + CO Gas als ein Ätzgas verwendet.
  • Um Ätzen durchzuführen, ist es wünschenswert, dass das Auswahlverhältnis einer Ätzzielschicht, die zu ätzen ist, zu einer Unterschicht darunter, d. h. das Verhältnis der Ätzrate der Ätzzielschicht zu der der Unterschicht, so hoch wie möglich ist.
  • Durch Verwenden des oben beschriebenen Gasgemischs aus einem CHxFy-basierten Gas und CO2 oder CO Gas als ein Ätzgas kann das Auswahlverhältnis auf 10 oder mehr höher als das bei Ver- Wendung eines einzelnen CHxFy-basierten Gases vergrößert werden.
  • Mit Mikromustern und hoher Integration von Halbleitervorrichtungen wurden eine Verdrahtungsbreite und ein Intervall zwischen den benachbarten Toren von FETs (Feldeffekttransistor, Field Effect Transistor) verringert. Somit wird die Maßgenauigkeit einer Lithografietechnik zum Ausbilden eines Kontaktlochs in der Source/Drain eines FET stärker gefordert.
  • Als eine Technik zum Ausbilden eine Halbleitervorrichtung mit einer hohen Maßgenauigkeit ist ein so genanntes Selbstausrichtungsverfahren bekannt. In diesem Verfahren werden Kontaktlöcher in einer isolierenden Zwischenschicht entlang einer Gate-Elektrode unter Verwendung der Gate-Elektrode, die mit einem Schutzfilm bedeckt ist, selbst als ein Maskenmuster ausgebildet. Es ist zu beachten, dass typische Materialien für die isolierende Zwischenschicht und den schützenden Isolationsfilm SiO2 bzw. SiN sind.
  • Beim Ausbilden eines Kontaktlochs wird deshalb eine SiO2-Isolationszwischenschicht geätzt (später mit Bezug auf 7 zu beschreiben). Zu diesem Zeitpunkt wird ein Schulter- oder Eckabschnitt 5a des SiN-Isolationsfilms von zwei Richtungen geätzt, d. h. von der oberen und der seitlichen Richtung, sodass der Eckabschnitt leichter erodiert wird. Aus diesem Grund verringert sich das Ätzauswahlverhältnis an dem Eckabschnitt 5a selbst unter einer Bedingung eines Sicherstellens eines ausreichend hohen Ätzauswahlverhältnisses (SiO2/SiN) an einem flachen Abschnitt 5b. Die Verringerung im Ätzauswahlverhältnis erzeugt Öffnungen in dem SiN-Isolationsfilm, um Probleme zu verursachen, wie etwa Kurzschließen der Gate-Elektrode bei Abschluss der Vorrichtung.
  • Die Auswahlverhältnisse verringern sich auch an Eckabschnitten oder Schulterabschnitten nicht nur einer SiN-Unterschicht, sondern auch einer Unterschicht, die aus Si, Al, TiN, TiSi, W, WSi oder dergleichen besteht.
  • Es ist ein Ziel der vorliegenden Erfindung, ein Plasmaätzverfahren vorzusehen, das zum ausreichenden Erhöhen des Ätzauswahlverhältnisses (Ätzzielschicht/Unterschicht) fähig ist.
  • Aus den Ergebnissen der Untersuchung haben die gegenwärtigen Erfinder herausgefunden, dass sich generierte Radikale und ihr Verhältnis in Übereinstimmung mit unterschiedlichen Entladedauern ändern, und eine größere Anzahl von Radikalen, die zu einem Ätzen bei einem hohen Auswahlverhältnis beitragen, für eine kürzere Entladedauer generiert werden.
  • Gemäß der Erfindung wird ein Plasmaätzverfahren vorgesehen, in dem ein Bearbeitungsgas in einen Bearbeitungsraum eingeführt wird, der ein Substrat unterbringt, HF-Energie über entgegenliegende Elektroden angelegt wird, um eine elektrische Entladung in dem Bearbeitungsgas zu verursachen, wobei dadurch ein Plasma generiert wird, und eine erste Schicht, die durch das Substrat gestützt wird, durch Verwenden des Plasma in Bevorzugung zu einer zweiten Schicht, die durch das Substrat gestützt wird, geätzt wird, wobei die erste Schicht mindestens teilweise über der zweiten Schicht liegt und aus einem Material besteht, das sich von dem der zweiten Schicht unterscheidet, worin die erste Schicht im wesentlichen aus SiO2 besteht, während die zweite Schicht im wesentlichen aus einem Material besteht, das aus einer Gruppe ausgewählt wird, die aus SiN, Si, Al, TiN, W, WSi und TiSi besteht, das Bearbeitungsgas C4F8 und CO enthält, der Fortschritt einer Dissoziation von C4F8 durch Justieren der Zeit gesteuert wird, für die jede Komponente des Bearbeitungsgases einer elektrischen Entladung unterworfen wird, um das Ätzauswahlverhältnis der ersten Schicht bezüglich der zweiten Schicht einzustellen, und die Entladungsdauer gewählt wird, einen kleinen Wert mit einem großen eingestellten Wert des Ätzauswahlverhältnisses derart aufzuweisen, um den Fortschritt einer Dissoziation zu unterdrücken und den Inhalt von C2F4 zu erhöhen.
  • Diese Erfindung kann aus der folgenden detaillierten Beschreibung vollständiger verstanden werden, wenn in Verbindung mit den begleitenden Zeichnungen genommen, in denen:
  • 1 eine Schnittansicht ist, die ein Magnetronplasmaätzgerät eines Dipolring-Typs gemäß der vorliegenden Erfindung zeigt;
  • 2 ein Grundriss ist, der die Beziehung zwischen der Anordnung von Permanentmagneten und der Richtung eines Magnetfelds in dem in 1 gezeigten Gerät zeigt;
  • 3 eine Schnittansicht ist, die ein Magnetronplasmaätzgerät eines Stabmagnet-Typs gemäß der vorliegenden Erfindung zeigt;
  • 4 eine Grafik ist, die die Beziehung zwischen der Gesamtzuführungsrate eines Bearbeitungsgases, dem Ätzauswahlverhältnis (SiO2/SiN) an einem Schulterabschnitt und der SiN-Ätzrate an einem flachen Abschnitt in dem in 1 gezeigten Gerät zeigt;
  • 5 eine Grafik ist, die die Beziehung zwischen den Gesamtzuführungsraten von Bearbeitungsgasen und den Ätzauswahlverhältnissen (SiO2/SiN) an Schulterabschnitten in den in 1 und 3 gezeigten Geräten zeigt;
  • 6 ein Zeiteinstellungsdiagramm ist, das den Modus von angelegten Impulsen von HF-Energie für eine Plasmagenerierung zeigt;
  • 7 eine Schnittansicht ist, die eine Art und Weise zum Ausbilden von Kontaktlöchern durch Selbstausrichtung zeigt;
  • 8 eine Grafik ist, die die Beziehung zwischen der CO-Zuführungsrate und dem Ätzauswahlverhältnis (SiO2/SiN) in dem in 1 gezeigten Gerät zeigt;
  • 9 eine Grafik ist, die die Beziehung zwischen der Temperatur einer unteren Elektrode und dem Ätzauswahlverhältnis (SiO2/SiN) in dem in 1 gezeigten Gerät zeigt; und
  • 10 eine Grafik ist, die die Ergebnisse einer Simulation der Beziehung zwischen der Entladungsdauer (sek) und der Radikaldichte (cm–3) zeigt.
  • Ein Magnetronplasmaätzgerät eines Dipolring-Typs 12, das in 1 gezeigt wird, hat eine luftdichte Bearbeitungskammer 16, die z. B. aus Aluminium besteht. Eine Suszeptorträgerbasis 22, die z. B. aus Aluminium besteht, ist in der Mitte des Bodenabschnitts in der Bearbeitungskammer 16 durch eine Isolationsplatte 20, die z. B. aus Keramik besteht, aufgestellt. Ein Suszeptor 24 ist als eine untere Elektrode, die z. B. aus Aluminium besteht, auf der Trägerbasis 22 aufgestellt.
  • Ein Auslassring 25, der z. B. aus Aluminium besteht, ist um den Suszeptor 24 angeordnet. Das Innere der Bearbeitungskammer 16 wird durch den Suszeptor 24 und den Auslassring 25 in einen oberen Bearbeitungsraum, d. h. einen Entladungsraum 14a, und einen unteren Hilfsraum 14b unterteilt. Es ist ein große Anzahl von Löchern 25a in dem Auslassring 25 bei einem glei chen Intervall ausgebildet, um zu bewirken, dass der Entladungsraum 14a mit dem Hilfsraum 14b in Verbindung steht.
  • Ein Auslassrohr 18, in dem eine Vakuumpumpe 19 mit einer steuerbaren Auslassmenge zwischengeschaltet ist, ist mit dem Bodenabschnitt der Bearbeitungskammer 16 verbunden. Das Innere des Bearbeitungsraums 14a wird durch die Vakuumpumpe 19 durch den Hilfsraum 14b gleichförmig entleert. Die Kapazität des Entladungsraums 14a ist auf z. B. ungefähr 3,5 Liter eingestellt.
  • Ein Raum 26 zum Einführen eines Kälte- oder Wärmemediums ist in der Suszeptorträgerbasis 22 ausgebildet. Ein Mediumzuführungsrohr 28 und ein Mediumauslassrohr 30, die sich durch den Bodenabschnitt der Bearbeitungskammer 16 erstrecken, sind mit dem Raum 26 verbunden.
  • Ein elektrostatisches Spannfutter 36 zum Anziehen und Einspannen eines Halbleiterwafers W, der als ein Zielobjekt dient, ist an der oberen Oberfläche des Suszeptors 24 angeordnet. Das elektrostatische Spannfutter 36 wird z. B. durch eine Kupferfolie 36a und Polymidfilme gebildet, die die Kupferfolie 36a dazwischenstellen. Es wird eine Hochspannungs-Gleichstrom-Energieversorgung 38 mit der Kupferfolie 36a verbunden. Wenn eine Gleichspannung an die Kupferfolie 36a angelegt wird, wird der Wafer W durch eine Coulomb'sche Kraft zu der Oberfläche des Spannfutters 36 eingespannt.
  • Ein Fokusring 17, der aus einem leitenden oder isolierenden Material hergestellt ist, ist an dem Suszeptor 24 derart angeordnet, um den Wafer W, der als ein Zielobjekt dient, zu umgeben. Der Fokusring 17 erleichtert, dass Ätzen gleichförmig an jedem Teil in dem Wafer W durchgeführt wird. Obwohl der dicke Fokusring 17 und das dicke elektrostatische Spann futter 36 in 1 veranschaulicht werden, sind sie in der Praxis so dünn wie der Wafer W.
  • Es ist eine HF-Energieversorgung 33 von z. B. 13,56 MHz zum Generieren von Plasma mit dem Suszeptor 24 durch eine Anpassungsschaltung 31 und einen Blockierungskondensator 32 verbunden. Die Ausgabe von der HF-Energieversorgung 33 wird durch eine Ausgabesteuersektion 34, die mit einer CPU 13 verbunden ist, justiert. Unter der Steuerung der Steuersektion 34 wird HF-Energie kontinuierlich oder in Pulsation von der HF-Energieversorgung 33 an den Suszeptor 24 angelegt (später zu beschreiben).
  • Der Deckenabschnitt der Bearbeitungskammer 16 wird durch eine obere Elektrode 40 definiert. Ein Abschnitt zwischen der Seitenwand und dem Deckenabschnitt der Bearbeitungskammer 16 wird durch ein Dichtungsglied 41, das z. B. durch einen O-Ring gebildet wird, abgedichtet. Die obere Elektrode 40, die Seitenwand der Bearbeitungskammer 16 und der Auslassring 25 sind geerdet. Wenn HF-Energie an den Suszeptor 24 angelegt wird, um ein elektrisches HF-Feld in dem Bearbeitungsraum 14a auszubilden, funktioniert deshalb der Suszeptor 24 als eine Kathodenelektrode, und die Glieder 40, 16 und 25 funktionieren als eine Anodenelektrode.
  • Die obere Elektrode 40 besteht aus einem leitenden Material, wie etwa amorphem Kohlenstoff, SiC oder Al. Die obere Elektrode 40 hat eine Duschkopfstruktur. Spezieller hat die obere Elektrode 40 einen hohlen Innenraum, und es ist eine große Anzahl von Gasdiffusionslöchern 42 in ihrer gesamten Oberfläche entgegengesetzt zu dem Wafer W ausgebildet. Es ist eine Dispensierungsplatte (nicht gezeigt) in der oberen Elektrode 40 aufgestellt. Ein Ätzgas, das in die obere Elektrode 40 durch ein Gaszuführungsrohr 44 eingeführt wird, wird durch die Gasdiffusionslöcher 42 gleichmäßig in die Bearbeitungskammer 16 gesprüht.
  • Ein Gaszuführungsrohr 48, in dem ein Ventil 46 zwischengeschaltet ist, ist mit dem Gaszuführungsrohr 44 verbunden. Das distale Ende des Gaszuführungsrohrs 48 ist in drei Zweigrohre 50, 52 und 54 verzweigt. Gasquellen 68, 70 und 72 für unterschiedliche Gase sind mit den Zweigrohren 50, 52 und 54 jeweils durch Ventile 56, 58 und 60 und Massenfluss-Steuervorrichtungen 62, 64 und 66 zum Steuern der Flussraten verbunden. In diesem Gerät sind die Gasquellen 68, 70 und 72 mit Gasen C4F8, CO bzw. Ar gefüllt. Ferner können Gase N2 und O2 und dergleichen einem Bearbeitungsgas hinzugefügt werden.
  • Die Flussraten der Massenfluss-Steuervorrichtungen 62, 64 und 66 werden durch eine Steuersektion 78 gesteuert, die z. B. durch einen Mikrocomputer gebildet wird, der mit der CPU 13 verbunden ist. Die Steuersektion 78 steuert auch die Auslassmenge der Vakuumpumpe 19.
  • Es ist ein ringartiger Dipolring 74 um die äußere Seitenwand der Bearbeitungskammer 16 bei einem leichten Abstand angeordnet. Der Dipolring 74 kann durch ein Antriebsglied (nicht gezeigt) in der Kreisumfangsrichtung bei z. B. 20 U/min gedreht werden.
  • Der Dipolring 74 wird durch eine große Anzahl von kleinen Permanentmagnetstücken 76 gebildet, die miteinander in einer Ringform gekoppelt sind, wie in 2 gezeigt. Die Magnetstücke 76 sind derart aufgestellt, dass sich die Magnetisierungsrichtung (angezeigt durch Pfeile in den Magnetstücken 76) zweimal um den Ring 74 dreht. Ein Paar von Magnetstücken 76, die einander um die Mitte des Rings 74 entgegenliegen, haben die gleiche Magnetisierungsrichtung.
  • In 2 zeigen Pfeile, die in dem Wafer W dargestellt werden, die Richtungen von Magnetfeldern an, und Kurven auf dem Wafer W zeigen die Magnetlinien einer konstanten Kraft an. Das Magnetfeld in dem Wafer W ist der Zieloberfläche des Wafers W parallel, und seine Magnetkraft wird in Punkt P2 stärker. In diesem Gerät wird z. B. ein Magnetfeld mit ungefähr 120 G generiert.
  • Es kann ein Verfahren gemäß der vorliegenden Erfindung (später zu beschreiben) auf ein Plasmaätzgerät eines anderen Typs, wie etwa ein Magnetronplasmaätzgerät eines Stabmagnet-Typs, wie in 3 gezeigt, ebenso wie das Magnetronplasmaätzgerät eines Dipolring-Typs, wie in 1 gezeigt, angewendet werden.
  • Ein Magnetronplasmaätzgerät eines Stabmagnet-Typs 82, das in 3 gezeigt wird, hat einen Permanentstabmagnet eines N-S-Typs 84, der oberhalb der oberen Elektrode 40 aufgestellt ist, die als der Deckenabschnitt der Bearbeitungskammer 16 dient. Der Stabmagnet 84 wird durch ein Antriebsglied (nicht gezeigt) gedreht, um ein Magnetfeld oberhalb und parallel zu der Oberfläche des Wafers W in der Bearbeitungskammer 16 auszubilden. Da die verbleibenden Abschnitte jenen in dem Gerät ähnlich sind, das in 1 gezeigt wird, bezeichnen die gleichen Bezugszeichen die gleichen Teile und ihre detaillierte Beschreibung wird weggelassen.
  • Nachstehend wird ein Bearbeitungsverfahren in den in 1 und 3 gezeigten Magnetronplasmaätzgeräten beschrieben.
  • Zuerst wird der Halbleiterwafer W, der als ein Zielobjekt dient, in die Bearbeitungskammer 16 von einem Lade-Sperrraum (nicht gezeigt), der mit der Bearbeitungskammer 16 verbunden ist, durch ein Torventil (nicht gezeigt) geladen. Der Wafer W wird in das elektrostatische Spannfutter 36 platziert, und es wird eine Gleichspannung an das elektrostatische Spannfutter 36 angelegt, um den Wafer W anzuziehen und in das Spannfutter 36 einzuspannen.
  • Während der Innenraum der Bearbeitungskammer 16 durch die Pumpe 19 entleert wird, wird ein Bearbeitungsgas, d. h. ein Gasgemisch aus C4F8, CO und Ar, von den Gasquellen 68, 70 und 72 in den Bearbeitungsraum 14a zugeführt. Zu diesem Zeitpunkt wird die Flussrate des Bearbeitungsgases gesteuert (später zu beschreiben). Auf diese Art und Weise wird der Innenraum der Bearbeitungskammer 16 auf einem vorbestimmten Bearbeitungsdruck gehalten, z. B. 20 mTorr (1 Torr = 133 Pa).
  • Während das Bearbeitungsgas zugeführt wird, wird HF-Energie über die obere Elektrode 40 und den Suszeptor 24, der als eine untere Elektrode dient, angelegt, um das Bearbeitungsgas in ein Plasma umzuwandeln. Das Bearbeitungsgas wird in Plasma umgewandelt und allmählich dissoziiert, um verschiedene Radikale zu generieren. Diese Radikale ätzen eine Ätzzielschicht auf der Oberfläche des Wafers, um z. B. ein Kontaktloch auszubilden.
  • 7 zeigt eine Art und Weise zum Ausbilden von Kontaktlöcher durch Selbstausrichtung.
  • In 7 ist eine Gate-Elektrode 4 auf einem Substrat 1 durch einen Gate-Isolationsfilm 3 angeordnet. Die Gate-Elektrode 4 ist mit einem Isolationsfilm 5 abgedeckt, der aus SiN (Siliziumnitrid) besteht. Es ist eine dicke SiO2-Isolationszwischenschicht 6 auf der Gate-Struktur abgelagert. Kontaktlöcher 8 werden durch Plasmaätzen der Isolationszwischenschicht 6 an den beiden Seiten der Gate-Struktur unter Verwendung einer gemusterten Fotoresistschicht 7 als eine Maske und C4F8/CO/Ar als ein Bearbeitungsgas ausgebildet. Zu diesem Zeitpunkt wird ein Schulter- oder Eckabschnitt 5a des SiN- Isolationsfilms 5 von zwei Richtungen, d. h. von der oberen und seitlichen Richtung, geätzt, sodass der Eckabschnitt 5a leichter als der flache Abschnitt 5b des Isolationsfilms 5 erodiert wird. Aus diesem Grund wird das Ätzauswahlverhältnis an dem Schulterabschnitt oder Eckabschnitt 5a selbst unter einer Bedingung zum Sicherstellen eines ausreichend hohen Ätzauswahlverhältnisses (SiO2/SiN) an dem flachen Abschnitt verringert.
  • Z. B. ist das Ätzauswahlverhältnis (SiO2/SiN) an dem flachen Abschnitt durch Verwenden von C4F8/CO/Ar als ein Bearbeitungsgas ohne Verwendung des Verfahrens der vorliegenden Erfindung (später zu beschreiben) ungefähr 20. An dem Schulterabschnitt 5a kann jedoch ein Ätzauswahlverhältnis von 10, was der Zielwert der vorliegenden Erfindung ist, nicht erhalten werden. Eine Verringerung im Ätzauswahlverhältnis (SiO2/SiN) erzeugt Öffnungen in dem SiN-Isolationsfilm 5, um Probleme zu verursachen, wie etwa Kurzschließen der Gate-Elektrode bei Abschluss der Vorrichtung.
  • Es ist in der Gate-Struktur wünschenswert, dass die Gate-Elektrode 4 ausreichend dick ist, während der Isolationsfilm 5 so dünn wie möglich ist. Z. B. ist in 7 eine Dicke D1 der Gate-Struktur einschließlich des SiN-Isolationsfilms 5 ausgebildet, ungefähr 300 bis 400 nm zu sein, und eine Dicke D2 des SiN-Isolationsfilms 5 ist ungefähr 50 nm. Wenn ein Ätzauswahlverhältnis (SiO2/SiN) von mindestens 10 an dem Schulterabschnitt der Gate-Struktur, d. h. dem Schulterabschnitt 5a des SiN-Isolationsfilms 5, der als eine Unterschicht dient, sichergestellt ist, verbleibt deshalb der SiN-Isolationsfilm 5 10 bis 20 nm an Dicke, um Isolationseigenschaften zu erhalten.
  • In der vorliegenden Erfindung werden das Auswahlverhältnis einer Ätzzielschicht (SiO2) zu ihrer Unterschicht (SiN) und insbesondere das Auswahlverhältnis an dem Eckabschnitt oder Schulterabschnitt 5a der Unterschicht (siehe 7) hoch eingestellt. Aus diesem Grund widmeten die gegenwärtigen Erfinder der Rolle von Radikalen, die bei Dissoziation eines Bearbeitungsgases erhalten werden, Aufmerksamkeit.
  • Im allgemeinen wird bei Anwendung einer HF-Energie auf C4F8-Gas ein Ätzgas gemäß den folgenden Formeln bei einer Erhöhung einer Anwendungszeit (Entladungsdauer) dissoziiert. C4F8 -> C2F4 -> CF2 -> CF + F C4F8 -> CF3 -> CF2 + F CF2 + F -> CF3
  • In den generierten Radikalen (einschließlich Ionen) wird die Reaktion von F mit Si mit einem hohen Inhalt an F befördert, um das Ätzauswahlverhältnis zu verringern. Spezieller weist C2F4 ein relativ hohes Ätzauswahlverhältnis (SiO2/SiN) auf, während Radikale, wie etwa CF3 und F, niedrige Ätzauswahlverhältnisse aufweisen. D. h. um das Ätzauswahlverhältnis (SiO2/SiN) zu erhöhen, muss der Inhalt an C2F4 erhöht werden.
  • Aus diesem Grund ist in der vorliegenden Erfindung CO in einem Bearbeitungsgas enthalten, und F, das bei Dissoziation generiert wird, reagiert mit CO, um den Inhalt an F in den Radikalen zu verringern. Des Weiteren wird durch Justieren der Zeit, wenn das Bearbeitungsgas in ein Plasma umgewandelt wird, der Fortschritt einer Dissoziation des Bearbeitungsgases gesteuert.
  • Nachstehend wird das Bearbeitungsverfahren detaillierter beschrieben. D. h. die Verweilzeit eines Bearbeitungsgases in dem Bearbeitungsraum, d. h. dem Entladungsraum 14a, wird. auf einen vorbestimmten Wert (z. B. 28 msek) oder weniger mit einer kontinuierlichen Anwendung einer HF-Energie eingestellt.
  • Um dies zu erreichen, werden die gesamte Flussrate (Zuführungsrate) des Bearbeitungsgases und die Auslasskapazität durch die Steuersektion 78 gesteuert.
  • Eine Temperaturverwaltung eines Zielobjekts ist zum Durchführen einer Bearbeitung für ein hohes Ätzauswahlverhältnis (SiO2/SiN) wichtig. Eine Bearbeitung für ein hohes Ätzauswahlverhältnis kann durch Erwärmen des Wafers W auf 80°C bis 150°C, und vorzugsweise auf ungefähr 140°C (Temperatur der zu bearbeitenden Oberfläche) durchgeführt werden.
  • Die Verweilzeit eines Bearbeitungsgases wird durch eine einfache Gleichung dargestellt: Verweilzeit = Kapazität eines Bearbeitungsraums 14a × Druck – Zuführungsrate vom Bearbeitungsgas. Spezieller wird die Verweilzeit durch die Kapazität des Bearbeitungsraums 14a, die Zuführungsrate eines Bearbeitungsgases, die Auslassmenge eine Pumpe und den Bearbeitungsdruck bestimmt. Die Steuersektion 78 wird basierend auf diesen Parametern eingestellt, um die Zuführungsrate eines Bearbeitungsgases und die Auslassrate zu steuern.
  • Durch Verkürzen der Verweilzeit eines Bearbeitungsgases auf diese Art und Weise wird die Entladungsdauer verkürzt. Als ein Ergebnis wird die Menge an Radikalen, wie etwa C2F4, die ein hohes Auswahlverhältnis bieten, erhöht, während die Menge an Radikalen, wie etwa CF3 und F, die geringe Auswahlverhältnisse bieten, verringert wird, wobei dadurch das Ätzauswahlverhältnis in dem Eckabschnitt oder Schulterabschnitt 5a der Unterschicht erhöht wird.
  • Bei kontinuierlicher Anwendung einer HF-Spannung tritt in dem Bearbeitungsraum 14a eine kontinuierliche Plasmaentladung auf. Es wird jedoch ein Bearbeitungsgas sequenziell mit einem neuen Gas nach einer vorbestimmten Verweilzeit ausgetauscht. Die Aufmerksamkeit auf einen Teil eines Bearbeitungsgases, das bei einem gegebenen Punkt zugeführt wird, richtend, ist die Periode einer Plasmaentladung in diesem Teil nahezu gleich der Verweilzeit dieses Teils in dem Bearbeitungsraum 14a. D. h. die Verweilzeit von jedem Teil eines Bearbeitungsgases ist die Entladungsdauer des Teils.
  • Als Nächstes wird die Beziehung zwischen der Verweilzeit eines Bearbeitungsgases, dem Ätzauswahlverhältnis (SiO2/SiN) und der SiN-Ätzrate auf der Basis von 4 und 5 beschrieben.
  • 4 ist eine Grafik, die experimentelle Ergebnisse in dem in 1 gezeigten Ätzgerät eines Dipolring-Typs zeigt. 5 ist eine Grafik zum Vergleichen der experimentellen Ergebnisse in dem in 1 gezeigten Gerät eines Dipolring-Typs mit jenen in dem in 3 gezeigten Gerät eines Stabmagnet-Typs.
  • In Experimenten in Bezug auf 4 wurde der Ätzdruck auf 40 mTorr; die HF-Leistung auf 1700 W; die Temperaturen des Deckenabschnitts und der Seitenwand der Bearbeitungskammer 16 auf 60°C; und die Temperatur des Suszeptors 24 auf 20°C eingestellt. Die Gesamtzuführungsrate eines Bearbeitungsgases und die Auslassrate wurden bei einem konstanten Bearbeitungsdruck und einem konstanten Zusammensetzungsverhältnis erhöht, um die Verweilzeit des Bearbeitungsgases zu verringern.
  • In 4 zeigt eine Linie L1 das Ätzauswahlverhältnis (SiO2/SiN) an einem Schulterabschnitt mit Bezug auf die Gesamtzuführungsrate des Bearbeitungsgases an, und eine Linie L2 zeigt die SiN-Ätzrate an einem flachen Abschnitt in Bezug auf die Gesamtzuführungsrate des Bearbeitungsgases an. Ziffern in Klammern an jedem Punkt zeigen die Flussrate (SCCM, Standard-Kubikzentimeter pro Minute) von C4F8/CO/Ar-Bearbeitungsgas an.
  • In den auf 4 bezogenen Experimenten war, wie durch die Linie L1 angezeigt, das Auswahlverhältnis 8,6 bei einer Gesamtzuführungsrate von 360 SCCM, und erhöhte sich auf 14,5 bei einer Gesamtzuführungsrate von 720 SCCM. Außerdem hat sich, wie durch die Linie L2 angezeigt, die SiN-Ätzrate an dem flachen Abschnitt mit einer Erhöhung einer Gesamtzuführungsrate verringert.
  • In 5 zeigt eine Linie L3 das Ätzauswahlverhältnis (SiO2/SiN) an einem Schulterabschnitt in dem in 1 gezeigten Gerät eines Dipolring-Typs an, die durch Zeichnen der Linie L1 in 4 ohne jegliche Änderung erhalten wird. Eine Linie L4 zeigt das Ätzauswahlverhältnis (SiO2/SiN) an einem Schulterabschnitt in dem in 3 gezeigten Gerät eines Stabmagnet-Typs an. Ziffern in oberen Klammern an jedem Punkt zeigen die C4F8/CO/Ar-Flussrate (SCCM) an, wie in 4, und Ziffern in unteren Klammern zeigen die Verweilzeit (msek) des Bearbeitungsgases in dem Bearbeitungsraum 14a an.
  • In dem in 1 gezeigten Gerät eines Dipolring-Typs erhöht sich, wie durch die Linie L3 in 5 gezeigt, das Auswahlverhältnis stark von 8,6 auf 14,5 mit einer Verringerung einer Verweilzeit des Bearbeitungsgases von 34 msek auf 17 msek, wie oben beschrieben. Die Verweilzeit wird auf 28 msek oder weniger mit dem Zielwert des Ätzauswahlverhältnisses von 10 oder mehr an dem Schulterabschnitt als eine Referenzeingestellt. In dem in 3 gezeigten Gerät eines Stabmagnet-Typs wird, wie durch die Linie L4 angezeigt, außerdem die Verweilzeit auf 46 msek oder weniger auf der Basis des Zielwerts des Ätzauswahlverhältnisses von 10 oder mehr an dem Schulterabschnitt eingestellt.
  • Obwohl der Bearbeitungsdruck auf 40 mTorr in den Experimenten in Bezug auf 4 und 5 eingestellt wurde, kann das Bear beitungsverfahren gemäß der vorliegenden Erfindung auf einen Ätzdruck angewendet werden, der innerhalb eines Bereichs fällt, der gleich dem eines normalen Ätzdrucks ist, z. B. innerhalb eines Bereichs von mehreren mTorr bis zu mehreren hundert mTorr. Obwohl das Mischungsverhältnis von Gasen C4F8, CO und Ar auf 1 : 15 : 20 in dem Gerät eines Dipolring-Typs eingestellt wurde, kann das Bearbeitungsverfahren gemäß der vorliegenden Erfindung unter Verwendung eines Gasgemischs mit einem Mischungsverhältnis von z. B. ungefähr 1 : 2 : 0 bis 1 30 : 40 angewendet werden.
  • Um in dem obigen Ätzverfahren die Entladungsdauer von jedem Teil eines Bearbeitungsgases auf einen vorbestimmten Wert einzustellen, wird die Verweilzeit des Bearbeitungsgases mit kontinuierlicher Anwendung einer HF-Spannung justiert. Aus diesem Grund werden die Zuführungsrate des Bearbeitungsgases und die Auslassmenge des Bearbeitungsraums 14a durch die Steuersektion 78 gesteuert.
  • Im Gegensatz dazu wird in einem Ätzverfahren, das nachstehend zu beschreiben ist, um die Entladungsdauer von jedem Teil eines Bearbeitungsgases auf einen vorbestimmten Wert einzustellen, die Anwendungszeit einer HF-Spannung als ein Parameter zusätzlich zu der Verweilzeit des Bearbeitungsgases in Betracht gezogen. Zu diesem Zweck wird die HF-Energieversorgung 33 durch die Steuersektion 34 gesteuert, um eine HF-Spannung in Pulsation anzulegen und die Impulsbreite zu justieren.
  • 6 ist ein Zeiteinstellungsdiagramm, das den Modus einer Anwendung von Impulsen einer HF-Spannung zur Plasmagenerierung zeigt.
  • In dem oben beschriebenen Ätzverfahren für das in 1 gezeigte Gerät eines Dipolring-Typs wird die Verweilzeit eines Bearbeitungsgases auf z. B. 28 msek oder weniger eingestellt, wie in 5 gezeigt. In dem nachstehend zu beschreibenden Ätzverfahren jedoch wird die Summe von Impulsbreitenzeiten innerhalb der Verweilzeit eines Bearbeitungsgases auf z. B. 28 msek oder weniger eingestellt. Mit dieser Einstellung wird die Entladungsdauer verkürzt, um den Fortschritt einer Dissoziation zu unterdrücken, um dadurch den Inhalt von Radikalen, wie etwa C2F4, mit einem hohen Auswahlverhältnis zu erhöhen. D. h. das Ätzauswahlverhältnis an einem Schulterabschnitt kann auf 10 oder mehr eingestellt werden, was der Zielwert ist.
  • In dieser Beschreibung bedeutet ein Impuls nicht eine HF-Einzelwelle, sondern eine Menge von kontinuierlichen Einzelwellen, wie in 6 gezeigt. Die Anzahl von Impulsen, die in der Verweilzeit eines Bearbeitungsgases existieren, wird auf eins oder mehr eingestellt.
  • In 6 zeigt (a) ein Beispiel, in dem ein einzelner Impuls mit einer Impulsbreite t1 von 28 msek innerhalb einer langen Gasverweilzeit T von mehr als 28 msek angewendet wird. Die Impulsbreite t1 ist nicht auf 28 msek begrenzt und kann ein kleinerer Wert sein. In 6 zeigt (b) ein Beispiel, in dem 7 Impulse jeder mit einer Impulsbreite t2 von 4 msek innerhalb der Gasverweilzeit T angewendet werden, um die Summe einer Entladungsdauer von 28 msek zu erhalten.
  • Auf diese Art und Weise wird eine HF-Spannung zur Plasmagenerierung in Pulsation angelegt, um die Entladungsdauer auf einen vorbestimmten Wert einzustellen, z. B. 28 msek oder weniger, wobei dadurch der Inhalt an Radikalen mit einem hohen Auswahlverhältnis erhöht wird. Deshalb kann das Auswahlverhältnis an einem Schulterabschnitt stark erhöht werden.
  • Eine Temperaturverwaltung eines Zielobjekts ist zum Durchführen einer Bearbeitung für ein hohes Ätzauswahlverhältnis (SiO2/SiN) wichtig. Eine Bearbeitung für ein hohes Ätzauswahlverhältnis kann durch Erwärmen des Wafers W auf 80°C bis 150°C und vorzugsweise auf ungefähr 140°C durchgeführt werden (Temperatur der zu bearbeitenden Oberfläche).
  • In jedem oben beschriebenen Bearbeitungsverfahren wird ein Gasgemisch, das C4F8 und CO als Ätzgase enthält, und Ar als ein Edelgas verwendet. Selbst wenn stattdessen ein Gasgemisch, das nur C4F8 und CO enthält, verwendet wird, kann SiO2 in Bezug auf SiN selektiv geätzt werden. Auch wird in diesem Fall der Wafer W auf 80°C bis 150°C und vorzugsweise auf ungefähr 140°C erwärmt und bei dieser Temperatur gehalten.
  • Es wird nachstehend ein Bearbeitungsverfahren zum Justieren der CO-Menge in einem Bearbeitungsgas oder der Temperatur einer unteren Elektrode, um das Ätzauswahlverhältnis zu steuern, beschrieben.
  • Aus den Ergebnissen von Experimenten durch die gegenwärtigen Erfinder wurde herausgefunden, dass das Ätzauswahlverhältnis (SiO2/SiN) an dem flachen Abschnitt und das an dem Eckabschnitt 5a umgekehrte Tendenzen durch Änderung der CO-Zuführungsrate und der Temperatur der unteren Elektrode unter den Prozessbedingungen aufwiesen.
  • 8 und 9 sind Grafiken, die experimentelle Ergebnisse in dem in 1 gezeigten Gerät eines Dipolring-Typs zeigen. In den Experimenten, die auf 8 und 9 bezogen sind, wurde der Ätzdruck auf 40 mTorr; die HF-Leistung auf 1700 W; und die Temperaturen des Deckenabschnitts und der Seitenwand der Bearbeitungskammer 16 auf 60°C eingestellt.
  • In den auf 8 bezogenen Experimenten wurde ein Gasgemisch von C4F8/CO/Ar als ein Bearbeitungsgas verwendet, die C4F8/Ar-Flussrate wurde auf 20/400 (SCCM) eingestellt und die Temperatur des Suszeptors 24 wurde auf 20°C eingestellt. Die CO-Zuführungsrate wurde geändert, um die Beziehung zwischen der CO-Zuführungsrate und dem Ätzauswahlverhältnis (SiO2/SiN) zu überprüfen. In 8 zeigen Linien L5, L6 und L7 das Ätzauswahlverhältnis an einem Schulterabschnitt, das an einem flachen Abschnitt und die SiO2-Ätzrate an. wie in 8 gezeigt, wird mit einer Erhöhung einer CO-Zuführungsrate das Auswahlverhältnis an dem Schulterabschnitt leicht verringert, aber das an dem flachen Abschnitt stark erhöht. D. h. es wird herausgefunden, dass die CO-Rate bei der C4F8/CO-Zuführungsrate, die innerhalb eines Bereichs von 2/25 bis 2/35 fällt, verringert wird, um das Ätzauswahlverhältnis an dem Schulterabschnitt zu erhöhen.
  • In den Experimenten in Bezug auf 9 wurde ein Gasgemisch von C4F8/CO/Ar als ein Bearbeitungsgas verwendet, und die C4F8/CO/Ar-Flussrate wurde auf 20/250/400 (SCCM) eingestellt. Die Temperatur der unteren Elektrode wurde geändert, um die Beziehung zwischen der Temperatur der unteren Elektrode und dem Ätzauswahlverhältnis (SiO2/SiN) zu überprüfen. In 9 zeigen Linien L8, L9 und L10 das Ätzauswahlverhältnis an einem Schulterabschnitt, das an einem flachen Abschnitt und die SiO2-Ätzrate an. Ziffern in Klammern unter den Temperaturen entlang der Abszisse zeigen die Temperaturen der Zieloberfläche des Wafers W an. Wie in 9 gezeigt, wird mit einer Erhöhung einer Temperatur der unteren Elektrode das Auswahlverhältnis an dem Schulterabschnitt stark erhöht, aber das an dem flachen Abschnitt wird leicht verringert. Deshalb kann das Auswahlverhältnis an dem Schulterabschnitt durch Erhöhung der Temperatur der unteren Elektrode auf ein bestimmtes Maß, z. B. 20°C oder mehr, weiter erhöht werden (die Temperatur der Zieloberfläche des Wafers W zu diesem Zeitpunkt ist 120°C oder mehr).
  • 10 ist eine Grafik, die die Ergebnisse einer Simulation der Beziehung zwischen der Entladungsdauer (sek) und der Dichte (cm–3) von Radikalen, die durch Dissoziation von C4F8 erzeugt werden, zeigt. Diese Simulation basiert auf einer Bedingung, dass eine Energie von 20 eV auf ein C4F8-Gas durch Entladung angewendet wird.
  • In 10 der Dichtebeziehung zwischen C2F4 und CF3 Beachtung schenkend, die das Auswahlverhältnis (SiO2/SiN) am meisten beeinflusst, überschreitet die Dichte von CF3 die Dichte von C2F4 bei einer Entladungsdauer von ungefähr 20 msek oder mehr, während sich die Dichte von C2F4 bei einer Entladungsdauer von ungefähr 10 msek oder mehr nicht erhöht. Aus diesen Ergebnissen wird herausgefunden, dass die Dichte von C2F4 selbst und die relative Dichtebeziehung von C2F4 und CF3 durch Justieren der Entladungsdauer gesteuert werden können.
  • Um in der vorliegenden Erfindung das Ätzauswahlverhältnis (SiO2/SiN) hoch einzustellen, ist es wünschenswert, Ätzen für eine Entladungsdauer nicht länger als die Entladungsdauer (in dieser Simulation ungefähr 20 msek) durchzuführen, bei der das Dichteverhältnis (C2F4/CF3) 1 wird. Ferner ist es wünschenswerter, Ätzen für eine Entladungsdauer nicht länger als die Entladungsdauer (ungefähr 10 msek in dieser Simulation) entsprechend dem Beugungspunkt der Dichtekurve durchzuführen, wo die Dichte von C2F4 selbst nicht ansteigt.
  • Wie oben beschrieben wurde, kann gemäß der vorliegenden Erfindung das Dichteverhältnis von C2F4 zu CF3, die bei Dissoziation von C4F8 erzeugt werden, durch Änderung der Entladungsdauer gesteuert werden. Um spezieller das Ätzauswahlverhältnis einer SiO2-Schicht, die als eine Ätzschicht dient, zu einer SiN-Schicht, die als eine Unterschicht dient, einzustellen, wird ein wünschenswerter Wert für die Entladungsdauer von jedem Teil eines Bearbeitungsgases als ein Parame ter ausgebildet. Wenn eine Vielzahl von Wafern W kontinuierlich bearbeitet wird, wird ein Plasmaätzgerät in Übereinstimmung mit einem gewählten Parameterwert eingerichtet, bevor die Menge der Wafer W bearbeitet wird. Im allgemeinen werden die gewählten Parameterwerte zu der in 1 oder 3 gezeigten CPU 13 als detailliertere Werte eingegeben, wie etwa die Auslassmenge eines Bearbeitungsraums, die Zuführungsrate (Verweilzeit) eines Bearbeitungsgases und der Modus einer Anwendung einer HF-Energie (in Fortsetzung oder Pulsation). Während Ätzens steuert die CPU 13 die Steuersektionen 34 und 78 derart, um die eingegebenen eingestellten Werte zu halten.
  • Obwohl in den obigen Bearbeitungsverfahren eine SiN-Unterschicht beispielhaft gezeigt wurde, kann die gleiche Wirkung in der vorliegenden Erfindung erhalten werden, selbst wenn eine Unterschicht aus einem anderen Material besteht, wie etwa Si, Al, TiN, W, WSi oder TiSi. Obwohl Ar als ein Trägergas für ein Bearbeitungsgas verwendet wird, kann außerdem ein anderes Edelgas, wie etwa He-Gas, an Stelle des Ar-Gases verwendet werden.

Claims (11)

  1. Plasmaätzverfahren, in dem ein Bearbeitungsgas in einen Bearbeitungsraum (14a) eingeführt wird, der ein Substrat (W) beherbergt, HF-Energie über entgegenliegende Elektroden (24, 40) angelegt wird, um eine elektrische Entladung in dem Bearbeitungsgas zu verursachen, wodurch ein Plasma generiert wird, und eine erste Schicht (6) auf dem Substrat durch Verwendung des Plasma in Bevorzugung zu einer zweiten Schicht (5) auf dem Substrat geätzt wird, wobei die erste Schicht (6) mindestens teilweise über der zweiten Schicht liegt und aus einem Material besteht, das sich von dem der zweiten Schicht unterscheidet, wobei die erste Schicht im wesentlichen aus SiO2 besteht, die zweite Schicht im wesentlichen aus einem Material besteht, das aus einer Gruppe ausgewählt wird, die aus SiN, Si, Al, TiN, W, WSi und TiSi besteht, und das Bearbeitungsgas C4F8 und CO enthält, und wobei der Fortschritt einer Dissoziation von dem C4F8 durch Auswählen einer kurzen Entladungsdauer gesteuert wird, was zu einer kurzen Zeit führt, für die jede Komponente des Bearbeitungsgases einer elektrischen Entladung unterworfen ist, wobei dies den Fortschritt einer Dissoziation des Bearbeitungsgases unterdrückt und somit der Inhalt von C2F4-Arten erhöht wird, was zu einem erhöhten Ätzauswahlverhältnis von dem SiO2 der ersten Schicht bezüglich der zweiten Schicht führt.
  2. Verfahren nach Anspruch 1, wobei die zweite Schicht (5) einen Schulterabschnitt (5a) hat, während die erste SiO2- Schicht (6) auf der zweiten Schicht derart angeordnet ist, um den Schulterabschnitt zu bedecken, und die erste SiO2-Schicht geätzt wird, um ein Loch (8) auszubilden, durch das der Schulterabschnitt der zweiten Schicht freigelegt wird.
  3. Verfahren nach Anspruch 1, wobei die HF-Energie kontinuierlich angelegt wird, und die Entladungsdauer als die Aufenthaltszeit von jedem Teil des Bearbeitungsgases in dem Bearbeitungsraum (14a) definiert ist.
  4. Verfahren nach Anspruch 1, wobei eine HF-Energie in einer Pulsation angelegt wird, und die Entladungsdauer als die Summe von Impulsbreiten innerhalb der Aufenthaltszeit von jedem Teil des Bearbeitungsgases in dem Bearbeitungsraum (14a) definiert ist.
  5. Verfahren nach Anspruch 1, wobei das Substrat (W) während des Ätzens auf nicht weniger als 120°C erwärmt wird.
  6. Plasmaätzverfahren nach Anspruch 1, in dem das Dichteverhältnis von C2F4 und CF3, generiert bei Dissoziation von C4F8, gesteuert wird.
  7. Verfahren nach Anspruch 6, wobei die Entladungsdauer ausgewählt wird, einen Wert derart zu haben, um das Dichteverhältnis von C2F4/CF3 einzustellen, nicht kleiner als 1 zu sein.
  8. Verfahren nach Anspruch 7, wobei die zweite Schicht (5) einen Schulterabschnitt (5a) hat, während die erste SiO2-Schicht (6) auf der zweiten Schicht derart angeordnet ist, um den Schulterabschnitt abzudecken, und die erste SiO2-Schicht geätzt wird, um ein Loch (8) auszubilden, durch das der Schulterabschnitt der zweiten Schicht freigelegt wird.
  9. Verfahren nach Anspruch 7, wobei die HF-Energie kontinuierlich angelegt wird, und die Entladungsdauer als die Aufenthaltszeit von jedem Teil des Bearbeitungsgases in dem Bearbeitungsraum (14a) definiert wird.
  10. Verfahren nach Anspruch 7, wobei eine HF-Energie in einer Pulsation angelegt wird, und die Entladungsdauer als die Summe von Impulsbreiten innerhalb der Aufenthaltszeit von jedem Teil des Bearbeitungsgases in dem Bearbeitungsraum (14a) definiert wird.
  11. Verfahren nach Anspruch 7, wobei das Substrat (W) während des Ätzens auf 80°C bis 150°C erwärmt wird.
DE69628358T 1995-02-07 1996-02-07 Plasmaätzmethode Expired - Lifetime DE69628358T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP04353295A JP3778299B2 (ja) 1995-02-07 1995-02-07 プラズマエッチング方法
JP4353295 1995-02-07

Publications (2)

Publication Number Publication Date
DE69628358D1 DE69628358D1 (de) 2003-07-03
DE69628358T2 true DE69628358T2 (de) 2004-04-08

Family

ID=12666363

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69628358T Expired - Lifetime DE69628358T2 (de) 1995-02-07 1996-02-07 Plasmaätzmethode

Country Status (5)

Country Link
US (1) US5595627A (de)
EP (1) EP0726596B1 (de)
JP (1) JP3778299B2 (de)
KR (1) KR100214442B1 (de)
DE (1) DE69628358T2 (de)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US5930585A (en) * 1996-07-23 1999-07-27 International Business Machines Corporation Collar etch method to improve polysilicon strap integrity in DRAM chips
DE69733962T2 (de) * 1996-10-11 2006-05-24 Tokyo Electron Ltd. Plasma-ätzmethode
US6303488B1 (en) 1997-02-12 2001-10-16 Micron Technology, Inc. Semiconductor processing methods of forming openings to devices and substrates, exposing material from which photoresist cannot be substantially selectively removed
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5817579A (en) * 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
US5986874A (en) * 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US5976982A (en) * 1997-06-27 1999-11-02 Siemens Aktiengesellschaft Methods for protecting device components from chemical mechanical polish induced defects
US6277720B1 (en) * 1997-06-30 2001-08-21 Texas Instruments Incorporated Silicon nitride dopant diffusion barrier in integrated circuits
US6300235B1 (en) 1997-06-30 2001-10-09 Siemens Aktiengesellschaft Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
KR100464391B1 (ko) * 1997-07-23 2005-02-28 삼성전자주식회사 실리콘옥시나이트라이드막에대한건식식각공정을포함하는반도체소자의콘택홀형성방법
US6835279B2 (en) * 1997-07-30 2004-12-28 Hitachi Kokusai Electric Inc. Plasma generation apparatus
US5866485A (en) * 1997-09-29 1999-02-02 Siemens Aktiengesellschaft Techniques for etching a silicon dioxide-containing layer
JP3283477B2 (ja) * 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6133153A (en) * 1998-03-30 2000-10-17 Lam Research Corporation Self-aligned contacts for semiconductor device
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
TW449828B (en) * 1998-08-24 2001-08-11 United Microelectronics Corp Method for etching oxide layer with a medium/low plasma density
US6235640B1 (en) * 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
US6136712A (en) 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6180532B1 (en) * 1998-12-15 2001-01-30 United Microelectronics Corp. Method for forming a borderless contact hole
US6495468B2 (en) 1998-12-22 2002-12-17 Micron Technology, Inc. Laser ablative removal of photoresist
US6184147B1 (en) * 1999-03-05 2001-02-06 United Microelectronics Corp. Method for forming a high aspect ratio borderless contact hole
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6749763B1 (en) * 1999-08-02 2004-06-15 Matsushita Electric Industrial Co., Ltd. Plasma processing method
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
EP1281193A2 (de) * 2000-05-12 2003-02-05 Tokyo Electron Limited Hochselektives ätzverfahren für einen selbstjustierten kontakt
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6486070B1 (en) * 2000-09-21 2002-11-26 Lam Research Corporation Ultra-high oxide to photoresist selective etch of high-aspect-ratio openings in a low-pressure, high-density plasma
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20040253823A1 (en) * 2001-09-17 2004-12-16 Taiwan Semiconductor Manufacturing Co. Dielectric plasma etch with deep uv resist and power modulation
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
TW200414344A (en) * 2002-09-06 2004-08-01 Tokyo Electron Ltd Method and apparatus for etching Si
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
CN103187264A (zh) * 2011-12-28 2013-07-03 中微半导体设备(上海)有限公司 一种在等离子体刻蚀室内刻蚀氧化硅层的方法
GB2499816A (en) * 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
US10480077B2 (en) * 2013-03-13 2019-11-19 Applied Materials, Inc. PEALD apparatus to enable rapid cycling
US9852893B2 (en) 2015-04-03 2017-12-26 Tokyo Electron Limited Dipole ring magnet assisted microwave radial line slot antenna plasma processing method and apparatus
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910010516A (ko) * 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas

Also Published As

Publication number Publication date
DE69628358D1 (de) 2003-07-03
KR960032633A (ko) 1996-09-17
EP0726596A2 (de) 1996-08-14
JP3778299B2 (ja) 2006-05-24
KR100214442B1 (ko) 1999-08-02
US5595627A (en) 1997-01-21
EP0726596B1 (de) 2003-05-28
EP0726596A3 (de) 1997-10-29
JPH08213372A (ja) 1996-08-20

Similar Documents

Publication Publication Date Title
DE69628358T2 (de) Plasmaätzmethode
DE69909248T2 (de) Verfahren zur verminderung der erosion einer maske während eines plasmaätzens
DE69625974T2 (de) Verfahren und Struktur zur Verbesserung der Flüssigkeitsströmung für Wärmetransport in elektrostatische Halter
DE4114752C2 (de) Plasmabearbeitungsverfahren und -vorrichtung
DE69937807T2 (de) Entfernen von oxiden oder anderen reduzierbaren verunreinigungen mittels plasmabehandlung
DE102009014067B4 (de) Plasmabearbeitungsvorrichtung
DE60209697T2 (de) Einrichtung zur plasmaverarbeitung
DE60033312T2 (de) Plasmabehandlungsvorrichtung und -verfahren
DE69534832T2 (de) Verbessertes Plasma-Ätzverfahren
EP0187882B1 (de) Verfahren zur Herstellung von Kontakten mit niedrigem Übergangswiderstand
EP0089382B1 (de) Plasmareaktor und seine Anwendung beim Ätzen und Beschichten von Substraten
DE2720893B2 (de)
DE3140890C2 (de) Photolithographisches Verfahren zum Herstellen einer integrierten Schaltungsvorrichtung
DE19627017A1 (de) Verfahren zur selektiven Ablagerung eines Metallfilms
DE2601288A1 (de) Gasaetzvorrichtung, insbesondere zur herstellung von halbleitervorrichtungen
DE3102174A1 (de) Plasmareaktionsvorrichtung zur behandlung von halbleitern u.dgl.
DE10046021A1 (de) Einrichtung zur Bildung von Dünnfilmen und Verfahren zur Herstellung von Kondensatoren auf Halbleitersubstraten unter Verwendung dieser Einrichtung
DE3609681A1 (de) Vorrichtung und verfahren zur duennfilmerzeugung
DE2930292A1 (de) Aetzverfahren bei der herstellung eines gegenstandes
DE10350752A1 (de) Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
DE4130391C2 (de) Verfahren zum selektiven entfernen einer schicht und dessen verwendung
DE60114383T2 (de) Verfahren und vorrichtung zur plasmabeschichtung
DE69819023T2 (de) Methode, eine leitende schicht zu ätzen
DE69733962T2 (de) Plasma-ätzmethode
DE112009001954T5 (de) Plasma-Dotierungsverfahren und Verfahren zur Herstellung eines Halbleiterbauelementes

Legal Events

Date Code Title Description
8364 No opposition during term of opposition