KR100214442B1 - 플라즈마 에칭방법 - Google Patents

플라즈마 에칭방법 Download PDF

Info

Publication number
KR100214442B1
KR100214442B1 KR1019960003122A KR19960003122A KR100214442B1 KR 100214442 B1 KR100214442 B1 KR 100214442B1 KR 1019960003122 A KR1019960003122 A KR 1019960003122A KR 19960003122 A KR19960003122 A KR 19960003122A KR 100214442 B1 KR100214442 B1 KR 100214442B1
Authority
KR
South Korea
Prior art keywords
layer
plasma etching
discharge time
plasma
etching method
Prior art date
Application number
KR1019960003122A
Other languages
English (en)
Other versions
KR960032633A (ko
Inventor
신 오카모토
히사타카 하야시
교치로 이나자와
다카야 마츠시타
Original Assignee
니시무로 타이죠
가부시끼가이샤 도시바
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 니시무로 타이죠, 가부시끼가이샤 도시바, 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 니시무로 타이죠
Publication of KR960032633A publication Critical patent/KR960032633A/ko
Application granted granted Critical
Publication of KR100214442B1 publication Critical patent/KR100214442B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

처리공간내에서 반도체 웨이퍼를 지지하기 위한 하부전극과, 하부전극에 맞은편에 있는 상부전극과, 상부와 하부전극 사이에 RF 전력을 인가하기 위한 RF 전원을 가지는 플라즈마 에칭장비. 어깨부를 가지는 하층으로서 SiN층 및 상기 SiN층을 덮고 있는 SiO2층이 웨이퍼 위에 배치되어 있다. 에칭에 의하여 접촉구멍이 SiO2층에 형성되어 SiN층의 어깨부를 노출시키게 된다. 처리가스는 C4F8와 CO를 함유한다. SiO2/SiN의 에칭선택률을 설정하기 위하여 처리가스의 각 구성부분의 방전시간이 매개변수로서 사용된다. C4F8의 분해처리는 방전시간의 선택에 의하여 조절된다. 방전시간은 처리가스의 각부분의 상주시간과 RF 전력의 인가시간에 의하여 결정된다.

Description

플라즈마 에칭방법
제1도는 본 발명에 따른 쌍극자고리형 마그네트론 플라즈마 에칭장비를 도시한 단면도.
제2도는 제1도에 도시된 장비내의 영구자석의 배열과 자기장의 방향 사이의 관계를 도시한 개략도.
제3도는 본 발명에 따른 막대자석형 마그네트론 플라즈마 에칭장비를 도시한 단면도.
제4도는 제1도에 도시된 장비내의 처리가스의 총공급속도, 어깨부에서의 에칭선택률(SiO2/SiN), 및 평부에서의 SiN에칭속도 사이의 관계를 도시한 그래프.
제5도는 제1도 및 제3도에 도시한 장비내의 처리가스 총공급속도 및 어깨부에서의 에칭선택률(SiO2/SiN) 사이의 관계를 도시한 그래프.
제6도는 플라즈마 생성을 위한 RF전력 인가펄스 모드를 도시한 시간그래프.
제7도는 자기줄맞춤법에 의하여 접촉 구멍을 형성하는 모양을 도시한 단면도.
제8도는 제1도에 도시된 장비내에서 CO공급 속도와 에칭선택률(SiO2/SiN)사이의 관계를 도시한 그래프.
제9도는 제1도에 도시된 장비내에서 하부전극의 온도와 에칭선택률(SiO2/SiN) 사이의 관계를 도시한 그래프.
제10도는 방전시간(초)과 라디칼밀도(cm-3)사이의 관계를 모의실험의 결과를 도시한 그래프.
* 도면의 주요부분에 대한 부호의 설명
W : 웨이퍼 1 : 기판
3 : 게이트 부도체막 4 : 게이트전극
5 : 부도체막 5a : 어깨부 혹은 코너부
5b : 평부 6 : 부도체간층
7 : 포토레지스트층 8 : 접촉구멍(contact holes)
12 : 쌍극자고리형 마그네트론 플라즈마 에칭장비
13 : CPU
14a : 방전공간(혹은 처리공간) 14b : 보조공간
16 : 처리실 17 : 촛점고리(focus ring)
18 : 배출관 19 : 진공펌프
20 : 부도체판 22 : 서셉터지지대
24 :서셉터(=하부전극) 25 : 배출고리
25a : 구멍 26 : 공간
28 : 공급관 30 : 배출관
31 : 정합회로 32 : 블로킹 캐퍼시터
33 : RF전원 34, 78 : 제어부
36 : 정전척(electrostatic chuck) 36a : 구리박막
38 : DC전원 40 : 상부전극
41 : 봉인재(real member) 42 : 확산구멍
44 : 주입관 46, 56, 58, 60 : 밸브
48, 50, 52, 54 : 공급관 62, 64, 66 : 제어기
68, 70, 72 : 기체공급원 74 : 쌍극자고리
76 : 영구자석 84 : N-S형 영구막대자석
82 : 막대자석형 마그네트론 플라즈마 에칭장비
본 발명은 플라즈마 에칭방법에 관한 것으로서, 더욱 상세하게는 SiN층에 비해 높은 선택률로 SiO2층을 에칭하는 방법에 관한 것이다.
반도체소자를 제조하는데 있어서 웨이퍼위에 발라져 있는 박막에 원하는 미세한 패턴을 형성하기 위하여 에칭이 이용되고 있다.
반도체소자를 위한 전형적인 부도체물질로서 접촉구멍이나 회로패턴이 에칭에 의하여 SiO2박막내에 형성되어야 할 경우에, 플라즈마 기체안에서 에칭을 수행하는 건식 에칭방법이 이용된다. 이 방법에서, CF4+ H2기체와 같이 H2를 포함하는 혼합기체 혹은 CH2F2+ CO2기체나 CHF3+ CO 기체와 같이 수소결합과 CO2나 CO기체를 포함하는 CHXFY계 혼합기체가 에칭기체로서 이용된다.
에칭을 수행하는데 있어서는, 하층(underlayer)에 대한 에칭 되어야 할 에칭 목표층의 선택률(selection ratio)(즉 하층의 에칭속도(etching rate)에 대한 에칭목표층의 에칭속도의 비)이 가능한한 높은 것이 바람직하다.
상기한 CHXFY계 기체 및 CO2혹은 CO 기체의 혼합기체를 에칭기체로서 사용하면, 선택률은 CHXFY계 기체 한가지만을 사용하는 것보다 더 높은 10혹은 그 이상까지 증가 할 수 있다.
반도체소자의 미세패턴화 및 고집적화와 함께, 접합 전계효과 트랜지스터(FETs)의 이웃 게이트 사이의 간격과 선폭을 감소하여 왔다. 따라서 치수정확도(dimensional accuracy)는 FET의 소스/드레인에 접촉구멍을 형성하는 리소그래피 인쇄기술에서 더욱 강하게 요구된다.
높은 치수정확도로 반도체소자를 제조하는 기술로서 소위 셀프-얼라인먼트(self-alignment method)이 알려져 있다. 이 방법에서, 보호막에 둘러싸여 있는 게이트전극 자체를 마스크패턴으로서 이용하여, 접촉구멍이 게이트전극을 따라 부도체간층안에 형성된다. 여기서 부도체간층 및 부도체 보호막으로서 전형적인 물질은 각각 SiO2및 SiN이다.
그러므로, 접촉구멍을 형성할 때 SiO2부도체간층이 에칭 되는데(하기될 제7도에 의하여 도시된 그림참조) 이 때, SiN 부도체막(5)의 어깨부 또는 코너부(5a)는 더욱 쉽게 에칭되도록 두 방향 즉 위와 옆방향으로부터 에칭된다. 이 때문에 평부(5b)에서 충분히 높은 에칭선택률(SiO2/SiN)이 확실한 조건하에서도 코너부(5a)에서의 에칭선택률은 감소한다. 이러한 에칭선택률의 감소는 소자가 완성되었을 때 게이트전극의 단락과 같은 문제를 유발하는 구멍들을 SiN 부도체막 내에 발생시킨다.
SiN하층뿐 아니라 Si, Al, TiN, TiSi, W, WSi 등등을 포함하는 하층의 코너부 혹은 어깨부에서도 또한 선택률은 감소한다.
본 발명의 목적은 에칭선택률(에칭목표층/하층)을 충분히 증가시킬 수 있는 플라즈마 에칭방법을 제공하는 데 있다.
연구결과, 본 발명자는 처리가스의 서로다른 방전시간에 따라 생성된 라디칼과 그 비율이 변한다는 것과, 높은 선택률로 에칭하는데 기여하는 라디칼의 많은 수가 짧은 방전시간동안 생성된다는 사실을 발견했다.
본 발명은 첫째로, 기판을 수용하는 처리공간내로 처리가스를 주입하고, 반대 전극사이에 RF 전력을 인가하여 처리가스가 방전되도록 하여 플라즈마를 생성하고, 이 플라즈마를 이용하여 기판에 의하여 지지되는 제1층이 역시 시판에 의해 지지되며 제1층과는 다른 물질로 이루어진 제2층보다 더 잘 에칭 되도록 하는 플라즈마 에칭방법을 제공한다.
여기서 제1층은 기본적으로 SiO2로 구성되는 반면 제2층은 기본적으로 SiN, Si Al 및 TiN으로 구성되는 집합에서 선택된 물질로 구성되고, 처리가스는 C3F8및 CO를 포함하며, C4F8의 분해처리는 제2층에 대한 제1층의 에칭선택률을 설정하기 위하여 처리가스의 각 구성부분의 반전시간을 매개변수로 이용하여 조절된다.
본 발명은 둘째로, 기판을 수용하는 처리공간내로 처리가스를 주입하고, 반대전극사이에 RF 전력을 인가하여 처리가스가 방전되도록 하여 플라즈마를 생성하고, 이 플라즈마를 이용하여 기판에 의하여 지지되는 제1층이 역시 기판에 의해 지지되며 제1층과는 다른 물질로 이루어진 제2층보다 더 잘 에칭되도록 하는 플라즈마 에칭방법을 제공한다.
여기서 제1층은 기본적으로 SiO2로 구성되는 반면 제2층은 기본적으로 SiN, Si, Al, TiN, W, WSi 및 TiSi로 구성되는 집합에서 선택된 물질로 구성되고, 처리가스는 C4F8및 CO를 포함하며, C4F8의 분해시 생성되는 C2F4및 CF4의 밀도비율은 제2층에 대한 제1층의 에칭선택률을 설정하기 위하여 처리가스의 각 구성부분의 방전을 매개변수로 이용하여 조절된다.
본 발명은 셋째로, 기판에 의하여 지지되는 제1층이 역시 기판에 의해 지지되며 제1층과는 다른 물질로 이루어진 제2층보다 더 잘 에칭되도록 하는 플라즈마 에칭장비를 이용하는 플라즈마 에칭방법을 제공한다.
여기서 상기 장비는, 처리공간내에서 기판을 지지하기 위한 제1전극과, 처리 공간내에서 제1전극 반대편에 있는 제2전극 및 제1전극과 제2전극 사이에 RF 전력을 인가하기 위한 RF 전원으로 구성되며; 제1층은 기본적으로 SiO2로 구성되는 반면 제2층은 기본적으로 SiN, Si, Al, TiN, W, WSi 및 TiSi로 구성되는 집합에서 선택된 물질로 구성되고, 처리가스는 C4F8및 CO를 포함한다.
본 발명에 의한 플라즈마 에칭방법은; 제2층에 대한 제1층의 에칭선택률을 설정하기 위하여 매개변수로서 처리가스의 각 구성부분의 방전시간의 값을 선택하고, C4F8의 분해시 생성되는 C2F4및 CF4의 밀도비율이 방전시간의 변화에 의하여 조절되는 단계; 선택된 매개변수값에 따라서 플라즈마 에칭장비를 세팅하는 단계; 제1전극으로 기판을 지지하는 단계; C4F8및 CO를 포함하는 처리가스를 처리공간을 배기시키면서 처리공간내로 주입하는 단계; 처리가스를 플라즈마로 변환시키기 위하여 제1전극과 제2전극 사이에 RF 전력을 인가하는 단계; 및 상기 플라즈마를 이용하여 제1층과 제2층을 처리하는 단계를 포함한다.
이하 도시된 그림들에 의하여 본 발명을 구체적으로 설명하면 다음과 같다.
제1도에 의하여 도시하고 있는 쌍극자 고리형 마그네트론 플라즈마 에칭장비(12)는 (예컨대 알루미늄으로 이루어진)밀폐처리실(16)을 가진다. (예컨대 알루미늄으로 이루어진)서셉터지지대(22)가 (예컨대 세라믹으로 이루어진)부도체판(20)에 받쳐져서 처리실(16)내의 바닥부분의 중앙에 배치되어 있다. 서셉터(24)는(예컨대 알루미늄으로 이루어진)하부전극으로서 지지대(22)위에 배치되어 있다.
(예컨대 알루미늄으로 이루어진)배출고리(25)가 서셉터(24) 주위에 배치되어 있다. 처리실(16)의 내부는 서셉터(24)와 배출고리(25)에 의하여 처리실 상부 즉 방전공간(14a) 및 하부의 보조공간 (14b)으로 구획된다. 많은 구멍들(25a)이 등간격으로 배출고리(25)내에 형성되어 방전공간(14a)과 보조공간(14b)사이의 연락을 가능하게 한다.
배출속도를 조절할 수 있는 진공펌프(19)가 그 내부에 배치되어 있는 배출관(18)은 처리실(16)의 바닥부분과 연결되어 있다. 진공펌프(19)에 의하여 보조공간(14b)을 통하여 처리공간(14a) 내부 공기는 균일하게 배출된다. 방전공간(14a)의 용량은 예컨대 약 3.5리터 정도로 설정된다.
차갑거나 뜨거운 물질을 주입하기 위한 공간(26)이 서셉터지지대(22) 내부에 형성되어 있다. 처리실(16)의 바닥부분을 관통하여 연장되어 있는 공급관(28)과 배출관(30)이 공간(26)과 연결되어 있다.
작업물로서 제공되는 반도체웨이퍼(W)를 끌어당기고 고정시키는 정전척(36)이 서셉터(24)의 윗면위에 배치되어 있다. 정전척(36)은 예컨대 구리박막(36a)과 구리박막(36a)내에 삽입되어 있는 폴리이미드막으로 구성된다. 고전압 DC 전원(38)이 구리박막(36a)에 연결되어 있다. DC전압이 구리박막(36a)에 인가되면, 클럭의 힘에 의하여 웨이퍼(W)는 척(36)의 표면으로 고정된다.
도체 혹은 부도체물질로 만들어진 초점고리(17)가 작업물로서 제공되는 웨이퍼(W)를 감싸도록 서셉터(24)위에 배치되어 있다. 초점고리(17)는 웨이퍼(W)의 모든 부분에서 균일하게 에칭이 진행될 수 있도록 도와준다. 비록 제1도에서는 초점고리(17)와 정전척(36)이 모두 두껍게 도시되어 있으나, 실제로는 웨이퍼(W) 만큼이나 얇다.
플라즈마를 생성시키기 위한 (예컨대 13.56MHz의) RF전원(33)은 정합회로(31)와 블로킹 캐퍼시터(32)를 통해 서셉터(24)와 연결되어 있다. RF전원(33)의 출력은 CPU(13)에 연결되어 있는 제어부(34)에 의하여 조절된다. 제어부(34)의 조절하에서, RF전력이 RF전원(33)으로부터 서셉터(24)로 연속적 혹은 펄스로 인가된다.
처리싱(16)의 천장부분은 상부전극(40)으로 정의된다. 처리실(16)의 측벽과 천장부분의 사이는 (예컨대 O형 고리로 이루어진)봉인재(41)에 의해서 봉인되어 있다. 상부전극(40), 처리실(16)의 측벽, 및 배출고리(25)는 접지되어 있다. 따라서 RF전력이 처리공간(14a)내에 RF 전기장을 형성하기 위하여 서셉터(24)에 인가되면, 서셉터(24)는 캐소드 전극으로서 기능하고 접지되어 있는 상부전극(40)과 처리실(16) 및 배출고리(25)는 애노드로서 기능하게 된다.
상부전극(40)은 비정질탄소, SiC 혹은 Al과 같은 도체물질로 구성되고, 샤워기머리(shower head)와 같은 구조로 되어 있으며, 특히, 내부에 빈 공간을 가지며, 수많은 기체 확산구멍(42)이 웨이퍼(W)와 마주 하는 면 전체에 형성되어 있다. 분배판(dispensing plate : 미도시됨)이 상부전극(40)내에 배치되어 있다. 주입관(44)을 통해 상부전극(40)내로 공급된 에칭기체는 확산구멍(42)을 통하여 처리실(16)내로 고르게 분사된다.
내부에 밸브(46)을 가진 공급관(48)이 주입관(44)에 연결되어 있다. 공급관(48)의 말단은 세 개의 공급관(50),(52),(54)들로 분기된다. 서로 다른 종류의 기체의 공급원(68),(70,(72)은 각각 밸브(56),(58),(60)를 통하여 분기된 공급관(50),(52),(54) 및 공급비율을 조절하기 위한 제어기(62),(64),(66)에 연결되어 있다. 이 장비내에서 공급원(68),(70),(72)은 각각 C4F8, CO 및 Ar이 채워져 있다. 추가로 N2나 O2등의 기체가 처리가스에 보태어질 수 있다.
공급량제어기(62),(64),(66)의 유속(flow rates)은 (예컨대 CPU(13)에 연결된 마이크로컴퓨터로 구성된)제어부(78)에 의하여 조절된다. 제어부(78)는 또한 진공펌프(19)의 배출량도 조절한다.
고리형의 쌍극자고리(74)가 약간의 간격차로 처리실(16)의 측벽 바깥주위에 배치되어 있다. 쌍극자고리(74)는 구동장치(미도시됨)에 의하여(예컨대 20rpm 정도로) 원주방향으로 회전할 수 있다.
제2도에 의하여 도시한 바와 같이 쌍극자고리(74)는 고리형으로 서로서로 연결된 수많은 영구자석 조각들(76)로 이루어져 있다. 자석조각(76)들은 (자석조각(76)내의 화살표에 의해 지시된)자화방향이 고리(74)주위를 두 번 회전하도록 배열 되어있다. 고리(74)의 중심에 대하여 서로 반대쪽에 있는 자석조각(76) 한 쌍은 동일한 자화방향을 가진다.
제2도에서, 웨이퍼(W)위에 표시되어 있는 화살표는 자기장의 방향을 지시하고, 웨이퍼(W)위의 곡선은 동일한 힘이 작용하는 자기력선을 표시한다. 웨이퍼(W)위의 자기장은 웨이퍼(W)의 작업표면과 평행하며, 자기력은 점(P2)에서 가장 강하게 된다. 예컨대 이 장비에서는, 약 120G의 자기장이 생성된다.
본 발명의 방볍은 제1도에서 도시하고 있는 쌍극자고리형 마그네트론 에칭장비뿐만 아니라 제3도에서 도시하고 있는 막대자석형 마그네트론 플라즈마 에칭장비와 같은 다른 형의 플라즈마 에칭장비에도 적용될 수 있다.
제3도에 의하여 도시된 바와 같이 막대자석형 마그네트론 플라즈마 에칭장비(82)는 처리실(16)의 천장부분으로 있는 상부전극(40)(위에 배치된 N-S형 영구 막대자석(84)을 가지고 있다. 막대자석(84)은 구동장치(미도시됨)에 의하여 처리실(16)내의 웨이퍼(W) 표면 위로 평행하게 자기장을 형성하기 위하여 회전한다. 나머지 부분은 실제적으로 제1도에 의하여 도시된 장비의 부분들과 동일하기 때문에 상세한 설명을 생략한다.
제1도와 제3도에 의하여 도시된 마그네트론 플라즈마 에칭장비에서의 처리방법을 구체적으로 설명하면 다음과 같다.
맨 먼저, 작업물로서 제공되는 반도체 웨이퍼(W)가 게이트 밸브(미도시됨)를 통해 처리실(16)과 연결되어 있는 저장 공간(미도시됨)에서 처리실(16)내로 입고된다. 웨이퍼(W)가 정전척(36)위에 놓여지고 DC전압이 정전척(36)에 인가되면, 척(36)으로 웨이퍼(W)가 끌어당겨져 고정된다.
처리실(16) 내부에서 펌프(19)에 의하여 공기가 배출되는 동안 처리가스(예컨대 C4F8, CO 및 Ar의 혼합기체)가 공급원(68),(70),(72)으로부터 처리공간(14a)내로 공급한다. 이 때, 하기하는 것과 같이 처리가스의 유속이 조절되며, 이런 식으로, 처리실(16)내부는 소정 처리압력(예컨대 20mTorr)으로 유지된다.
처리가스가 공급되는 동안, 처리가스를 플라즈마로 변환시키기 위하여 상부전극(40)과 하부전극으로 작동하는 서셉터(24) 사이에 RF 전력이 인가된다. 처리가스는 플라즈마로 변환되고, 점차적으로 분해되어 다양한 라디칼을 생성한다. 이들 라디칼이 웨이퍼(W)의 에칭 작업표면에 예컨대 접촉구멍을 형성하기 위하여 에칭작용을 한다.
제7도에서 도시하고 있는 자기줄맞춤법에 의한 접촉구멍 형성법을 구체적으로 설명하면 다음과 같다. 게이트전극(4)이 게이트 부도체막(3)을 사이에 두고 기판(1)위에 배치되어, 질화규소(SiN)를 포함하는 부도체막(5)으로 덮혀져 있다. 그리고 두꺼운 SiO2부도체간층(6)이 게이트 구조위에 배치되어 있다. 패턴이 그려진 포토레지스트층(7)을 마스크로 이용하고 C4F8/CO/Ar을 처리가스로 이용하여 게이트구조의 양면에서부터 부도체간층(6)을 플라즈마 에칭함으로써 접촉구멍(8)이 형성된다. 이 때, SiN 부도체막(5)의 어깨부 또는 코너부(5a)는 부도체막(5)의 평부(5b)보다 더욱 쉽게 에칭되도록 하기위하여 두 방향 즉 위와 옆방향으로부터 에칭된다. 이 때문에 평부(5b)에서 충분히 높은 에칭선택률(SiO2/ SiN)이 확실한 조건하에서조차 코너부(5a)에서의 에칭선택률은 감소한다.
본 발명의 방법이 아닌 실시예로서, 처리가스로서 C4F8/CO/Ar을 사용할 때 에칭선택률(SiO2/SiN)은 평부(5b)에서 약 20이다. 그렇지만, 어깨부(5a)에서는 본발명의 목표값인 10이라는 선택률을 얻을 수 없다. 에칭선택률(SiO2/ SiN)이 감소되면, 소자가 완성되었을 때 게이트전극의 단락과 같은 문제를 유발하는 오프닝(openings)이 SiN 부도체내에 발생한다.
게이트구조내에서 게이트전극(4)은 충분히 두꺼워야하는 반면에 부도체막(5)은 가능한한 얇어야 바람직하다. 예컨대, 제7도에서 도시한 구조의 경우 SiN 부도체막(5)을 포함하는 게이트구조의 두께(D1)는 약 300nm 내지 400nm정도이고, SiN 부도체막(5)의 두께(D2)는 약 50nm정도이다. 따라서 만약 에칭선택률(SiO2/SiN)의 최소값 10이 게이트구조의 어깨부 즉 하층으로서 제공되는 SiN부도체막(5)의 어깨부(5a)에서 보장된다면, SiN 부도체막(5)이 10내지 20nm의 두께로 남아있게 되어 부도체성을 획득하게 된다.
본 발명에 있어서, 하층(SiN)에 대한 에칭목표층(SiO2)의 선택률과, 특히 하층의 코너부 혹은 어깨부(5a)에서의 선택률은 높게 설정되어 있다. 이 때문에, 본 발명자는 처리가스의 분해에 의해 얻어지는 라디칼의 역할에 주목하게 되었다.
일반적으로, C4F8기체에 RF 전력이 인가되는 경우에, 인가시간 (방전시간)의 증가에 따라서 다음과 같은 공식으로 에칭기체가 분해된다.
C4F8 C2F4 CF2 CF + F
C4F8 CF3 CF2+F
CF2+FCF3
생성된 라디칼(이온을 포함하여)내에서, F의 양이 많을 소록 Si와 F의 반응이 촉진되어 에칭선택률이 감소된다. 더욱 상세하게 말하면, C2F4는 상대적으로 높은 에칭선택률(SiO2/SiN)을 보이는 단면에 CF3과 F와 같은 라디칼은 낮은 에칭선택률을 나타낸다. 즉, 에칭선택률(SiO2/SiN)을 증가시키기 위해서는 C2F4의 양이 증가되어야만 한다.
따라서, 본 발명에서는 CO가 처리가스내에 포함되어, 분해시 생성되는 F가 CO와 반응하여 라디칼내에서 F의 양을 감소시키고 있다. 또한, 처리가스가 플라즈마로 변환되는 시간을 조정함으로써 처리가스의 분해처리가 조절된다.
분해처리방법을 상세하게 설명하면 다음과 같다. 처리공간 즉 방전공간(14a)내에서 처리가스의 상주시간은 RF전력의 인가가 연속적인 경우 소정시간(예컨대 28msec)이하로 설정되어 있다. 이를 위하여, 처리가스의 총유속(즉, 공급속도(supply rate)와 배출용량은 제어부(78)에 의하여 조절된다.
높은 에칭선택률(SiO2/SiN)을 위한 처리를 수행하는데 있어서 작업물의 온도 조절은 매우 중요하다. 웨이퍼(W)의 처리표면의 온도가 80℃ 내지 150℃로, 보다 바람직하게는 140℃정도로 가열됨으로써 높은 에칭선택률을 위한 처리가 수행될 수 있다.
처리가스의 상주시간은 다음의 간단한 방정식으로 표현된다 : 상주시간 = 처리공간(14a)의 용량 × 압력 ÷ 처리가스의 공급속도. 보다 상세하게 말하면, 상주시간은 처리공간(14a)의 용량과 처리가스의 공급속도 및 처리압력에 의하여 결정된다. 제어부(78)는 상기 매개변수들에 기초하여 설정되어 처리가스의 공급속도와 배출속도를 조절한다.
상기와 같은 방법에서는 처리가스의 상주시간이 짧아짐으로써, 처리가스의 방전시간이 짧아지며, 결과적으로 높은 에칭선택률을 제공하는 C2F4와 같은 라디칼의 양은 증가하는 반면 낮은 에칭선택률을 제공하는 CF3및 및 F와 같은 라디칼의 양은 감소되므로, 하층의 코너부 혹은 어깨부(5a)에서 에칭선택률이 증가한다.
RF 전력의 연속적인 인가에 의해서는 연속적인 플라즈마 방전이 처리공간(14a)내에서 발생한다. 그러나, 처리가스는 소정 상주시간후 새로운 기체로 순차적으로 교환된다. 주어진 점에 공급되는 처리가스의 일부를 고려하면, 이 점에서의 플라즈마 방전주기는 처리공간(14a)내의 이 지점에서의 상주시간과 거의 동일하다. 다시 말하면, 처리가스의 각 점에서의 상주시간은 그 점에서의 방전시간이다.
제4도 및 제5도에 의하여 도시된 그래프에 의거하여 처리가스의 상주시간, 에칭선택률(SiO2/SiN), 및 SiN에칭속도 사이의 관계를 구체적으로 설명하면 다음과 같다.
제4도에 의하여 도시된 그래프 제1도에 의하여 도시된 쌍극자고리형 에칭장비에서 실험한 결과이다. 제5도에 의하여 도시된 그래프는 제1도에 의하여 도시된 쌍극자고리형 에칭장비에서 실험한 결과와 제3도에 의하여 도시된 막대자석형 에칭장비에서 실험한 결과를 비교한 것이다.
제4도에 관계하는 실험에서는, 에칭기압이 40mTorr, RF 전력이 1,700 W, 처리실(16)의 천장부분과 측벽의 온도가 60℃, 및 서셉터(24)의 온도가 20℃로 설정되었다. 처리가스의 총공급속도와 배출속도는 처리가스의 상주시간을 감소시키기 위하여 일정처리기압과 일정조성비율로 증가되었다.
제4도에 도시된 그래프에서, 제1직선(L1)이 표시하는 것은 처리가스의 총공급속도에 대한 어깨부(5a)에서의 에칭선택률(SiO2/SiN)이고,제2직선(L2)이 표시하는 것은 처리가스의 총공급속도에 대한 평부(5b)에서의 SiN에칭속도이다. 각점의 괄호안의 숫자가 표시하는 것은 처리가스 C4F8/CO/Ar의 유속(SCCM)이다.
제4도에 관계하는 실험에서는 제1직선(L1)에 의하여 지시되고 있는 것과 같이 총공급속도가 360(SCCM)일 때 선택률은 8.6이었고, 총공급속도가 720(SCCM)일 때 14.5로 급격히 증가되었다. 또한, 제2직선(L2)에 의하여 지시되고 있는 것과 같이 총공급속도가 증가함에 따라서 평부(5b)에서의 SiN에칭속도는 감소하였다.
제5도에 의하여 도시된 그래프에서, 제3직선(L3)이 표시하는 것은 제4도에 의하여 도시된 그래프에서의 제1직선(L1)을 그대로 옮겨온 것으로서 제1도에 의하여 도시된 쌍극자고리형 장비의 어깨부에서의 에칭선택률(SiO2/SiN)이다. 제4직선(L4)이 표시하는 것은 제3도에 의하여 도시된 막대자석형 장비의 어깨부에서의 에칭선택률(SiN2/SiN)이다. 각 점에서의 윗쪽 괄호안의 숫자들이 표시하는 것은 제4도에서와 마찬가지로 처리가스 C4F8/CO/Ar의 공급속도(SCCM)이고, 아래쪽 괄호안의 숫자들이 표시하는 것은 처리공간(14a)내에서 처리가스의 상주시간(msec)이다.
제5도에 의하여 도시된 제3직선(L3)에 의하여 표시된 것과 같이, 제1도에 의하여 도시된 쌍극자고리형 장비에서는 상기한 것과 같이 처리가스의 상주시간이 34msec에서 17msec로 감소함에 따라 선택률은 8.6에서 14.5로 크게 증가한다. 기본값으로서 어깨부에서의 에칭선택률 목표값은 10이상 그리고 상주시간은 28msec이할로 설정된다. 또한 제4직선(L4)에 의하여 도시된 바와 같이 제3도에 의하여 도시된 막대자삭형 장비에서는 어깨부에서의 에칭선택률 목표값이 10이상인 것에 기초하여 상주시간이 46msec이하로 설정된다.
비록 제4도와 제5도에 관련된 실험에서는 처리압력이 40mTorr로 설절되었으나, 본 발명에 의한 처리방법은 보통의 에칭기압범위(예컨대 수 mTorr에서 수백 mTorr까지)와 동일한 범위내의 기압에서 응용될 수 있다. 또한, 쌍극자고리형 장비에서는 C4F8, CO, Ar의 혼합비율이 1 : 15 : 20으로 설정되었으나, 본 발명에 의한 처리방법은 혼합비율이 예컨데 약 1 : 2 : 0에서 1 : 30 : 40까지의 기체를 이용하여 응용될 수 있다.
상기 에칭방법에 있어서는, 소정값으로 처리가스의 각 구성부분의 방전시간을 설정하기 위하여 처리가스의 상주시간이 RF전력의 연속적인 인가에 맞추어 조정된다. 이를 위하여 RF 전원(33)은 제어부(34)에 의하여 조절되어 펄스로 RF 전력을 인가하고 그 펄스폭을 조정한다.
제6도에 의하여 도시한 그래프는 플라즈마 발생을 위한 RF 전력의 인가펄스 모드를 표시하고 있다.
제1도에 의하여 도시하고 있는 쌍극자고리형 장비에서의 상기 에칭방법에서는, 제5도에 의하여 도시하고 있는 바와 같이 처리가스의 상주시간이 예컨대 28msec이하로 설정된다. 그러나 하기 에칭방법에서는 처리가스의 상주시간에서 펄스폭 시간의 합이 예컨대 28msec이하로 설정되어 있다. 이러한 설정으로, 방전시간이 짧아져서 분해처리를 억제하므로 높은 선택률을 F가진 C2F4와 같은 라디칼의 양이 증가한다. 다시 말해서, 어깨부에서의 에칭선택률은 목표값인 10이상으로 설정될 수 있다.
여기서 펄스는 RF 전력의 한 파동을 의미하는 것이 아니고 제6도에 의해서 도시하고 있는 바와 같이 연속적인 파동의 집합을 의미한다. 처리가스의 상주시간 동안에 존재하는 펄스의 수는 1이상으로 설정된다. 제6도에서, (a)는 제1펄스폭(t1) 28msec를 가진 하나의 펄스가 28msec이상의 긴 기체 상주시간(T) 동안에 인가되는 구체적인 예를 지시하고 있다. 제1펄스폭(t1)은 28msec로 한정되지는 않으며 더 작은 값도 가능하다. 제6도에서, (b)는 각각 제2펄스폭(t2) 4msec를 가진 7개의 펄스가 총방전시간이 28msec가 되도록 상주시간(T)동안에 인가되는 구체적인 예를 지시하고 있다.
이런 방식으로 플라즈마 생성을 위하여 RF 전력이 펄스로 인가되어 방전시간이 소정값(예컨대 28msec 이하)으로 설정됨으로써, 높은 선택률을 가진 라디칼의 양이 증가한다. 따라서 선택률은 어깨부에서 크게 증가할 수 있다.
높은 에칭선택률(SiO2/SiN)을 위한 처리를 수행하는데 있어서 작업물의 온도 조절은 매우 중요하다. 웨이퍼(W)의 처리표면의 온도가 80℃내지 150℃로, 보다 바람직하게는 140℃정도로 가열됨으로써 높은 에칭선택률을 위한 처리가 수행될 수 있다.
상기 각 처리방법에 있어서는, 에칭기체로서 C4F8및 CO, 비활성기체로서 Ar을 포함하는 혼합기체가 사용된다. 이것 대신 단지 C4F8및 CO 만을 포함하는 혼합기체를 사용하는 경우에도 SiO2가 SiN에 대비하여 선택적으로 에칭될 수 있다. 또한 이 경우에 웨이퍼(W)는 80℃ 내지 150℃, 보다 바람직하게는 140℃정도로 가열되고 그 온도로 계속 유지된다.
에칭선택률을 조절하기 위하여 처리가스내의 CO의 양 혹은 하부전극의 온도를 조정하는 처리방법을 구체적으로 설명하면 다음과 같다.
본 발명자에 의한 실험의 결과로부터 평부(5b)와 코너부(5a)에서의 에칭선택률(SiO2/SiN)이 처리과정의 조건들 중에서 CO 공급속도 및 하부전극의 온도의 변화에 대해 반대 경향을 보인다는 것이 발견되었다.
제8도 및 제9도에 의해 도시하고 있는 그래프는 제1도에 의해 도시하고 있는 쌍극자고리형 장비에서의 실험 결과이다. 제8도 및 제9도에 관련된 실험에 있어서, 에칭기압이 40mTorr, RF 전력이 1,700W, 처리실(16)의 천장부분과 측벽의 온도가 60℃로 설정되었다.
제8도에 관계된 실험에서는 C4F8/CO/Ar 혼합기체가 처리가스로서 사용되었고, C4F8/Ar의 유속은 20/400 (SCCM)으로 설정되었으며, 서셉터(24)의 온도는 20℃로 설정되었다. CO 공급속도는 CO공급속도와 에칭선택률(SiN2/SiN) 사이의 관계를 검사하여 변화되었다. 제8도에 의해 도시하고 있는 그래프에서, 제5직선(L5), 제6직선(L6) 및 제7직선(L7)은 각각 어깨부(5a)의 에칭선택률, 평부(5b)의 에칭선택률 및 SiO2에칭속도를 지시하고 있다. 제8도에 의하여 도시한 바와 같이 CO 공급속도의 증가에 따라 어깨부(5a)의 선택률은 약간 감소하지만 평부(5b)의 선택률은 크게 증가한다. 즉, 어깨부(5a)의 에칭선택률을 증가시키기 위하여 C4F8/CO 공급속도를 2/25 내지 2/35의 범위에 들어오게 하면, CO 공급속도가 감소된다는 것을 알게 된다.
제9도와 관련된 실험에서는, C4F8/CO/Ar 혼합기체가 처리가스로서 사용되었고, C4F8/ CO/Ar의 공급률은 20/250/400 (SCCM)으로 설정되었다. 하부전극의 온도는 하부전극의 온도와 에칭선택률(SiO2/SiN) 사이의 관계를 검사하여 변화되었다. 제9도에 의하여 도시된 그래프에서 제8직선(L8), 제9직선(L9) 및 제10직선(L10)은 각각 어깨부의 에칭선택률, 평부의 에칭선택률 및 SiO2에칭속도를 지시하고 있다. 가로좌표축을 따라서 표시된 온도표시 아래쪽 괄호안의 숫자는 웨이퍼(W)의 작업표면 온도를 지시하고 있다. 제9도에 의하여 도시한 바와 같이, 하부전극의 온도가 증가함에 따라 어깨부(5a)의 선택률은 크게 증가하는 반면 평부(5b)의 선택률은 약간 감소하게 된다. 따라서, 어깨부(5a)의 선택률은 하부전극의 온도를 소정정도(예컨대 20℃정도 이상) 증가시킴으로써(이 경우 웨이퍼(W)의 목표 표면온도는 120℃이상이다) 추가로 증가될 수 있다.
제10도에 의해서 도시한 그래프는 방전시간(초)과 C4F8의 분해에 의해 발생되는 라디칼의 밀도(cm-3)사이의 관계를 모의실험한 결과이다. 이 모의실험은 방전에 의하여 C4F8에 인가된 에너지가 20 eV인 조건에 기초해 있다.
제10도에 의하여 도시한 그래프에서 에칭선택률(SiO2/SiN)에 가장 큰 영향을 미치는 C2F4와 CF3사이의 밀도관계에 주목하여 보면, 방전시간이 약 20msec이상인 경우 CF3밀도가 C2F4밀도를 상회하는 반면, 방전시간이 약 10msec이하인 경우 C2F4밀도는 증가하지 않는다. 이 결과로부터 C2F4밀도 자체와 C2F4와 CF3사이의 상대적인 밀도관계가 방전시간을 조정함으로써 조절될 수 있다는 것이 발견된다.
본 발명에 있어서, 에칭선택률(SiO2/SiN)을 높게 설정하기 위해서는 방전시간을 밀도비율(C2F4/CF3)이 1이 되는 방전시간(이 모의실험에서는 약 20msec)보다 짧게 하여 에칭을 수행하는 것이 바람직하다. 또한, C2F4밀도 자체가 증가하지 않게되기 시작하는 밀도곡선이 꺽여지는 점에 해당하는 방전시간(이 모의실험에서는 약 10msec)보다 방전시간을 짧게 하여 에칭을 수행하는 것이 더욱 바람직하다.
상기한 바와 같이 본 발명에 따르면 C4F8의 분해에 의하여 생성되는 CF3에 대한 C2F4의 밀도비는 방전시간을 변화시켜 조절할 수 있다. 더욱 상세하게는 하층으로서 제공되는 SiN층에 대하여 에칭목표층으로서 제공되는 SiO2층의 에칭선택률을 설정하는데 바람직한 값은 처리가스의 각 구성부분들의 방전시간을 매개변수로 하여 선택된다. 만약 복수개의 웨이퍼(W)가 연속적으로 처리되는 경우에는, 플라즈마 에칭장비는 많은 수의 웨이퍼(W)들을 처리하기 전 선택된 매개변수값에 따라 설정된다. 일반적으로, 선택된 매개변수값은 제1도 혹은 제3도에서 도시하고 있는 CPU(13)로 처리공간의 배출량, 처리가스의 공급속도(상주시간). 및 RF 전력의 인가모드(연속적인 혹은 규칙적인)와 같이 더욱 상세한 값으로서 입력된다. 에칭을 하는 동안에, CPU(13)는 입력설정값들을 유지하기 위하여 제어부(34),(78)들을 조절한다.
비록 상기 처리방법에서는 구체적으로 SiN 하층을 예시하였으나, 본 발명으로 Si, Al, TiN, W, WSi 혹은 TiSi와 같이 다른 물질로 이루어져 있는 하층에 대해서도 동일한 효과를 얻을 수 있다. 또한 처리가스의 운반기체로서 Ar이 사용되었지만, Ar 대신 He과 같은 다른 종류의 불활성기체가 사용될 수 있다.

Claims (18)

  1. 처리가스가 기판을 수용하는 처리공간내로 주입되고, RF 전력이 반대전극 사이에 인가되어 처리가스가 방전되도록 함으로써 플라즈마를 생성하고, 상기 플라즈마를 사용하여 상기 기판에 의하여 지지되는 제1층이 상기 제1층과는 다른 종류의 물질로 구성되고 상기 기판에 의하여 지지되는 제2층보다 더 잘 에칭되는 플라즈마 에칭방법으로서; 상기 제1층은 기본적으로 SiO2로 구성되는 반면, 제2층은 기본적으로 SiN, Si, Al 및 TiN으로 구성되는 군에서 선택된 하나의 물질로 구성되고, 처리가스는 C4F8및 CO를 함유하며, 상기 제2층에 대한 상기 제1층의 에칭선택률을 설정하기 위하여 C4F8의 분해처리는 처리가스의 각 구성부분의 방전시간을 매개변수로 사용함으로써 조절되는 것을 특징으로 하는 플라즈마 에칭방법.
  2. 제1항에 있어서, 분해처리를 억제하고 C2F4의 함량을 증가시키기 위해 에칭선택률의 큰 설정값과 함께, 방전시간이 작은 값을 갖도록 선택하는 것을 특징으로 하는 플라즈마 에칭방법.
  3. 제2항에 있어서, 상기 제2층은 어깨부를 가지는 한편 상기 제1층은 상기 어깨부를 덮도록 상기 제2층 위에 배열되며, 상기 제2층의 어깨부가 노출되는 구멍을 형성하도록 상기 제1층이 에칭되는 것을 특징으로 하는 플라즈마 에칭방법.
  4. 제2항에 있어서, RF 전력이 연속적으로 인가되고, 방전시간은 상기 처리공간내의 처리가스의 각 구성부분의 상주시간으로서 정의되는 것을 특징으로 하는 플라즈마 에칭방법.
  5. 제2항에 있어서, RF 전력이 펄스로 인가되고, 방전시간은 상기 처리공간내 처리가스의 각 구성성분의 상주시간내에서의 펄스폭들의 합으로서 정의되는 것을 특징으로 하는 플라즈마 에칭방법.
  6. 제2항에 있어서, 상기 기판은 에칭중에 120℃이상으로 가열되는 것을 특징으로 하는 플라즈마 에칭방법.
  7. 기판을 수용하는 처리공간내로 처리가스가 주입되고, RF 전력이 반대전극 사이에 인가되어 처리가스가 방전되도록 함으로써 플라즈마를 생성하고, 상기 플라즈마를 사용하여 상기 기판에 의하여 지지되는 제1층이 상기 제1층과는 다른 종류의 물질로 구성되고 상기 기판에 의하여 지지되는 제2층보다 더 잘 에칭되는 플라즈마 에칭방법으로서; 상기 제1층은 기본적으로 SiO2로 구성되는 반면, 제2층은 기본적으로 SiN, Si, Al, TiN, W, WSi 및 TiSi로 구성되는 군에서 선택된 하나의 물질로 구성되고, 처리가스는 C4F8및 CO 함유하며, 상기 제2층에 대한 상기 제1층에 에칭선택률을 설정하기 위하여 C4F8의 분해시 생성되는 C2F4및 CF3의 밀도비율은 처리가스의 각 구성부분의 방전시간을 매개변수로 사용함으로써 조절되는 것을 특징으로 하는 플라즈마 에칭방법.
  8. 제7항에 있어서, 밀도비율을 증가시키기 위해 에칭선택률의 큰 설정값과 함께 방전시간이 작은 값을 가지도록 선택되고, C2F4/CF3의 밀도비율이 1이상이 되도록 방전시간이 선택되는 것을 특징으로 하는 플라즈마 에칭방법.
  9. 제8하에 있어서, 상기 제2층은 어깨부를 가지는 한편 제1층은 상기 어깨부를 덮도록 상기 제2층위에 배열되고, 상기 제2층의 어깨부가 노출되는 구멍을 형성하도록 상기 제1층을 에칭하는 것을 특징으로 하는 플라즈마 에칭방법.
  10. 제8항에 있어서, RF 전력이 연속적으로 인가되고, 방전시간은 상기 처리공간내의 처리가스의 각 구성부분의 상주시간으로서 정의 되는 것을 특징으로 하는 플라즈마 에칭방법.
  11. 제8항에 있어서, RF 전력이 펄스로 인가되고, 방전시간은 상기 처리 공간내 처리가스의 각 구성성분의 상주시간내에서의 펄스폭들의 합으로서 정의되는 것을 특징으로 하는 플라즈마 에칭방법.
  12. 제8항에 있어서, 상기 기판은 에칭중에 80℃ 내지 150℃로 가열되는 것을 특징으로 하는 플라즈마 에칭방법.
  13. 기판에 의하여 지지되고 있는 제1층이 상기 기판에 의하여 지지되고 상기 제1층과는 다른 종류의 물질로 구성되는 제2층보다 더 잘 에칭되는 플라즈마 에칭장비를 사용하며, 상기 장비는 처리공간내에서 상기 기판을 지지하는 제1전극과, 상기 처리공간내에서 상기 제1전극의 반대편에 있는 제2전극과, 상기 제1 및 제2전극 사이에 RF 전력을 인가하기 위한 RF 전원으로 구성되고, 상기 제1층은 기본적으로 SiO2로 구성되는 반면, 제2층은 기본적으로 SiN, Si, Al, TiN, W, WSi 및 TiSi로 구성되는 군에서 선택된 하나의 물질로 구성되고, 처리가스는 C4F8및 CO를 함유하는 플라즈마 에칭방법으로서, 상기 제2층에 대한 상기 제1층의 에칭선택률을 설정하기 위하여 처리가스의 각 구성부분의 방전시간을 매개변수로서 값을 선택하고, C4F8의 분해시 생성되는 CF3에 대한 C2F4의 밀도비율이 방전시간의 변화로서 조절되는 단계와; 매개변수의 선택된 값에 따라 상기 플라즈마 에칭장비를 설정하는 단계와; 상기 제1전극에 의해서 상기 기판을 지지하는 단계와; 상기 처리공간을 배기시키면서 C4F8및 CO를 함유하는 처리가스를 상기 처리공간내로 공급하는 단계와; 처리가스를 플라즈마로 변환시키기 위하여, 상기 제1 및 제2전극 사이에 RF 전력을 인가는 단계와; 상기 플라즈마를 사용하여 상기 제1 및 제2층을 처리하는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭방법.
  14. 제13항에 있어서, 밀도 비율을 증가시키기 위해 에칭선택률의 큰 설정값과 함께 방전시간이 작은 값을 가지도록 선택되고, C2F4/CF3의 밀도비율이 1이상이 되도록 방전시간이 선택되는 것을 특징으로 하는 플라즈마 에칭방법.
  15. 제14항에 있어서, 상기 제2층은 어깨부를 가지는 한편 제1층은 상기 어깨부를 덮도록 상기 제2층위에 배열되고, 상기 제2층의 상기 어깨부가 노출되는 구멍을 형성하도록 상기 제1층이 에칭되는 것을 특징으로 하는 플라즈마 에칭방법.
  16. 제14항에 있어서, RF 전력이 연속적으로 인가되고, 방전시간은 상기 처리공간내의 처리가스의 각 구성부분의 상주시간으로서 정의되는 것을 특징으로 하는 플라즈마 에칭방법.
  17. 제14항에 있어서, RF 전력이 펄스로 인가되고, 방전시간은 상기 처리공간내 처리가스의 각 구성성분의 상주시간내에서의 펄스폭들의 합으로서 정의되는 것을 특징으로 하는 방법.
  18. 제14항에 있어서, 상기 기판은 에칭중에 80℃ 내지 150℃로 가열되는 것을 특징으로 하는 방법.
KR1019960003122A 1995-02-07 1996-02-07 플라즈마 에칭방법 KR100214442B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP95-43532 1995-02-07
JP04353295A JP3778299B2 (ja) 1995-02-07 1995-02-07 プラズマエッチング方法

Publications (2)

Publication Number Publication Date
KR960032633A KR960032633A (ko) 1996-09-17
KR100214442B1 true KR100214442B1 (ko) 1999-08-02

Family

ID=12666363

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960003122A KR100214442B1 (ko) 1995-02-07 1996-02-07 플라즈마 에칭방법

Country Status (5)

Country Link
US (1) US5595627A (ko)
EP (1) EP0726596B1 (ko)
JP (1) JP3778299B2 (ko)
KR (1) KR100214442B1 (ko)
DE (1) DE69628358T2 (ko)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US5930585A (en) * 1996-07-23 1999-07-27 International Business Machines Corporation Collar etch method to improve polysilicon strap integrity in DRAM chips
EP0945896B1 (en) * 1996-10-11 2005-08-10 Tokyo Electron Limited Plasma etching method
US6303488B1 (en) 1997-02-12 2001-10-16 Micron Technology, Inc. Semiconductor processing methods of forming openings to devices and substrates, exposing material from which photoresist cannot be substantially selectively removed
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5817579A (en) * 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
US5986874A (en) * 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US5976982A (en) * 1997-06-27 1999-11-02 Siemens Aktiengesellschaft Methods for protecting device components from chemical mechanical polish induced defects
US6300235B1 (en) * 1997-06-30 2001-10-09 Siemens Aktiengesellschaft Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
US6277720B1 (en) * 1997-06-30 2001-08-21 Texas Instruments Incorporated Silicon nitride dopant diffusion barrier in integrated circuits
KR100464391B1 (ko) * 1997-07-23 2005-02-28 삼성전자주식회사 실리콘옥시나이트라이드막에대한건식식각공정을포함하는반도체소자의콘택홀형성방법
US6835279B2 (en) * 1997-07-30 2004-12-28 Hitachi Kokusai Electric Inc. Plasma generation apparatus
US5866485A (en) * 1997-09-29 1999-02-02 Siemens Aktiengesellschaft Techniques for etching a silicon dioxide-containing layer
JP3283477B2 (ja) * 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6133153A (en) * 1998-03-30 2000-10-17 Lam Research Corporation Self-aligned contacts for semiconductor device
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
TW449828B (en) * 1998-08-24 2001-08-11 United Microelectronics Corp Method for etching oxide layer with a medium/low plasma density
US6235640B1 (en) * 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
US6136712A (en) 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
US6297163B1 (en) 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6180532B1 (en) * 1998-12-15 2001-01-30 United Microelectronics Corp. Method for forming a borderless contact hole
US6495468B2 (en) 1998-12-22 2002-12-17 Micron Technology, Inc. Laser ablative removal of photoresist
US6184147B1 (en) * 1999-03-05 2001-02-06 United Microelectronics Corp. Method for forming a high aspect ratio borderless contact hole
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6749763B1 (en) * 1999-08-02 2004-06-15 Matsushita Electric Industrial Co., Ltd. Plasma processing method
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6673198B1 (en) 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
KR100759602B1 (ko) * 2000-05-12 2007-09-17 동경 엘렉트론 주식회사 자기 정렬 콘택트 에칭 방법
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6486070B1 (en) * 2000-09-21 2002-11-26 Lam Research Corporation Ultra-high oxide to photoresist selective etch of high-aspect-ratio openings in a low-pressure, high-density plasma
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20040253823A1 (en) * 2001-09-17 2004-12-16 Taiwan Semiconductor Manufacturing Co. Dielectric plasma etch with deep uv resist and power modulation
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
TW200414344A (en) * 2002-09-06 2004-08-01 Tokyo Electron Ltd Method and apparatus for etching Si
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
CN103187264A (zh) * 2011-12-28 2013-07-03 中微半导体设备(上海)有限公司 一种在等离子体刻蚀室内刻蚀氧化硅层的方法
GB2499816A (en) * 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
US10480077B2 (en) * 2013-03-13 2019-11-19 Applied Materials, Inc. PEALD apparatus to enable rapid cycling
US9852893B2 (en) 2015-04-03 2017-12-26 Tokyo Electron Limited Dipole ring magnet assisted microwave radial line slot antenna plasma processing method and apparatus
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910010516A (ko) * 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas

Also Published As

Publication number Publication date
EP0726596A3 (en) 1997-10-29
EP0726596B1 (en) 2003-05-28
JP3778299B2 (ja) 2006-05-24
JPH08213372A (ja) 1996-08-20
EP0726596A2 (en) 1996-08-14
US5595627A (en) 1997-01-21
KR960032633A (ko) 1996-09-17
DE69628358D1 (de) 2003-07-03
DE69628358T2 (de) 2004-04-08

Similar Documents

Publication Publication Date Title
KR100214442B1 (ko) 플라즈마 에칭방법
KR102510737B1 (ko) 원자층 에칭 방법
KR101044366B1 (ko) 기판을 처리하기 위한 플라즈마 방법 및 장치
US8337713B2 (en) Methods for RF pulsing of a narrow gap capacitively coupled reactor
KR100377582B1 (ko) 플라즈마 처리 방법
US20050126712A1 (en) Plasma processing method
US20070184657A1 (en) Etching method
US11075057B2 (en) Device for treating an object with plasma
KR20180051663A (ko) 원자 레벨 레졸루션 및 플라즈마 프로세싱 제어를 위한 방법들
KR100535961B1 (ko) 플라즈마에 의해 유도되는 차징 결함을 감소시키는 방법
JP2000038688A (ja) プラズマ処理方法及びプラズマ処理装置
US7259104B2 (en) Sample surface processing method
US20040058554A1 (en) Dry etching method
CN110010466B (zh) 蚀刻方法
US6573190B1 (en) Dry etching device and dry etching method
KR20190011600A (ko) 플라즈마 처리 장치 및 방법, 및 이를 이용한 반도체 장치의 제조 방법
JPH0892765A (ja) エッチング方法
KR20010021139A (ko) 평활한 표면을 갖도록 폴리실리콘을 에칭하는 방법
JP2023053351A (ja) プラズマ処理装置
JP2005223367A (ja) プラズマ処理装置およびプラズマ処理方法
KR20010039919A (ko) 플라즈마 처리 방법
KR20210023699A (ko) 기판을 처리하는 방법, 디바이스 제조 방법, 및 플라즈마 처리 장치
JP3251439B2 (ja) エッチング方法
JP2010267670A (ja) プラズマ処理方法
JPH0758087A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130502

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20140418

Year of fee payment: 16

LAPS Lapse due to unpaid annual fee