KR101044366B1 - 기판을 처리하기 위한 플라즈마 방법 및 장치 - Google Patents

기판을 처리하기 위한 플라즈마 방법 및 장치 Download PDF

Info

Publication number
KR101044366B1
KR101044366B1 KR1020047018470A KR20047018470A KR101044366B1 KR 101044366 B1 KR101044366 B1 KR 101044366B1 KR 1020047018470 A KR1020047018470 A KR 1020047018470A KR 20047018470 A KR20047018470 A KR 20047018470A KR 101044366 B1 KR101044366 B1 KR 101044366B1
Authority
KR
South Korea
Prior art keywords
plasma
chamber
substrate
power
nitrogen
Prior art date
Application number
KR1020047018470A
Other languages
English (en)
Other versions
KR20050007397A (ko
Inventor
필립 앨런 크라우스
타이 쳉 추아
존 홀랜드
제임스 피. 크루스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/170,925 external-priority patent/US6660659B1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050007397A publication Critical patent/KR20050007397A/ko
Application granted granted Critical
Publication of KR101044366B1 publication Critical patent/KR101044366B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers

Abstract

본 발명의 실시예들은 일반적으로 질화물 게이트 유전체층을 형성하는 방법을 제공한다. 본 발명의 방법은 처리 챔버 안으로 질소 함유 처리 가스를 주입하고 처리 가스에 이온화 에너지를 인가함으로써 처리 챔버 내에서 질소 함유 플라즈마를 생성하는 단계; 및 질소 함유 플라즈마 내 전자들의 평균 온도를 약 0.7 eV 미만으로 유지하기 위하여 이온화 에너지를 펄스화하는 단계를 포함한다.

Description

기판을 처리하기 위한 플라즈마 방법 및 장치 {PLASMA METHOD AND APPARATUS FOR PROCESSING A SUBSTRATE}
본 발명은 일반적으로 플라즈마 반응기 및 반응기에서 생성된 플라즈마로 기판을 처리하는 방법에 관한 것이다. 더욱 상세하게, 본 발명은 종래 플라즈마 처리 반응기보다 낮은 온도를 갖는 플라즈마를 생성하도록 구성된 펄스형 플라즈마 처리 장치 및 방법을 이용하는 것에 관한 것이다.
집적 회로의 제조는 실리콘 또는 다른 반도체 기판 내에 그리고 기판 상에 전계 효과 트랜지스터들을 제조하는 것을 수반한다. 전계 효과 트랜지스터의 제조는 게이트 유전체층(gate dielectric layer)의 형성을 포함한다. 유전체층은 전형적으로 기판의 실리콘을 산소에 노출시켜, 이산화 실리콘 게이트 유전체층들을 형성함으로써 성장된다.
논리 소자들이 점점 더 작아짐에 따라, 이산화 실리콘 게이트 유전체층 내로 질소를 포함시키는 것이 바람직해지고 있다. 흔히 질소는 챔버 내에 질소 이온들의 플라즈마를 형성하고 질소 이온들을 게이트 유전체층 내로 주입함으로써 통합된다. 플라즈마는 전형적으로 전극 플레이트(용량성 결합) 또는 코일(유도성 결합)을 구비한 무선 주파수(RF) 소스를 이용하여 형성된다. RF 소스는 챔버의 가스 내에 RF 필드를 형성하고, 이러한 결합은 플라즈마를 형성한다.
RF 소스 유형(플레이트 또는 코일)과 무관하게, 소스로부터 플라즈마로의 상당한 용량성 결합이 존재할 수 있고, 이것은 대략 수십 볼트의 비교적 큰 플라즈마 전위를 형성한다. 상기 큰 플라즈마 전위는 질소 이온들과 이산화 실리콘층의 과도한 충격을 야기할 수 있고, 이것은 이산화 실리콘층에 손상을 야기할 수 있으며 하부에 놓인 실리콘 내로 질소가 통합되게 할 수도 있다. 이산화 실리콘층의 손상 또는 하부에 놓은 실리콘 안으로의 질소 통합은 질소 통합의 이점들을 감소시킨다.
본 발명의 일 태양에 따르면, 기판을 처리하는 방법이 제공되는데, 상기 방법은 처리 챔버에 기판을 위치시키는 단계, 챔버 내에 질소 플라즈마를 형성하는 단계 - 여기서, 플라즈마는 적어도 1010 cm-3의 이온 밀도 및 20V 미만의 전위를 가짐 -, 및 플라즈마로부터의 질소를 층 내에 통합시키기 위하여 기판 상의 층을 플라즈마에 노출시키는 단계를 포함한다.
본 발명의 또다른 태양에 따르면, 기판을 처리하는 방법이 제공되는데, 여기서, 기판은 플라즈마 처리 챔버에 위치되고, 질소 함유 가스는 챔버 내로 흐르며, RF 전류는 챔버 내에 RF 필드를 생성하도록 코일을 통해 제공되며, RF 필드는 가스로부터 질소 함유 RF 플라즈마를 형성하고, RF 전류가 펄싱되며, 플라즈마로부터의 질소 이온들 및 여기된 중성자(neutral)들은 기판상에 형성된 게이트 유전체층 안으로 통합된다.
본 발명의 부가적인 태양에 따르면, 플라즈마 반응기가 제공되는데, 상기 플라즈마 반응기는 챔버의 내부 체적 안으로 기판을 전송하기 위한 개구를 갖는 챔버, 기판을 유지하기 위한 챔버 내 기판 홀더, 챔버 벽 외부에 인접한 RF 코일, 및 챔버 벽과 RF 코일 사이의 접지된 전극 플레이트를 포함한다.
본 발명의 실시예들은 질화물 게이트 유전체층을 형성하는 방법을 추가로 제공한다. 본 방법은 처리 챔버 안으로 질소 함유 처리 가스를 주입하고 처리 가스에 이온화 에너지를 인가함으로써 처리 챔버 내에 질소 함유 플라즈마를 생성하는 단계, 및 약 0.7 eV 미만의 질소 함유 플라즈마 내의 전자들의 평균 온도를 유지하기 위하여 이온화 에너지를 펄싱하는 단계를 포함한다.
본 발명의 실시예들은 게이트 유전체층을 질화(nitridate)하기 위하여 사용되는 질소 함유 플라즈마의 성분들의 평균 에너지를 제어하는 방법을 추가로 제공하는데, 상기 방법은 질소 함유 플라즈마를 활성화시키기에 충분한 제 1 지속시간 동안 질소 함유 플라즈마를 유지하기 위해 사용되는 이온화 소스를 펄싱하고 이온화 소스를 턴오프시키는 단계 및 제 2 지속시간 동안 질소 함유 플라즈마의 성분들이 소산되게 하는 단계를 포함하며, 제 2 지속시간은 제 1 지속시간보다 더 길며, 제 2 지속시간은 약 0.7 eV 미만인 질소 함유 플라즈마의 성분들의 평균 에너지를 생성하도록 계산된다.
본 발명은 첨부된 도면들을 참조한 예시들을 사용하여 더 설명된다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 반응기의 사시도이다.
도 2는 플라즈마 반응기의 상부 컴포넌트들에 대한 측단면도이다.
도 3은 이산화 실리콘 게이트 유전체층 내로 질소 이온이 통합되는 것을 보여주는 측단면도이다.
도 4는 랭뮤어(Langmuir) 프로브를 사용하여 측정된 것으로서, 여러가지 RF 소스 전력 및 전극 플레이트 구성에 대한 압력의 함수로서 플라즈마 전위를 보여주는 그래프이다.
도 5는 랭뮤어 프로브를 사용하여 측정된 것으로서, 전극 플레이트 구성에 대한 압력의 함수로서 플로팅 전압(floating voltage)들을 보여주는 그래프이다.
도 6은 랭뮤어 프로브를 사용하여 측정된 것으로서, 전극 플레이트 구성에 대한 압력의 함수로서 전자 밀도를 보여주는 그래프이다.
도 7은 랭뮤어 프로브를 사용하여 측정된 것으로서, 전극 플레이트 구성에 대한 압력의 함수로서 이온 밀도를 보여주는 그래프이다.
도 8은 랭뮤어 프로브를 사용하여 측정된 것으로서, 전극 플레이트 구성에 대한 압력 함수로서 전자 온도를 보여주는 그래프이다.
도 9는 본 발명의 실시예에 따른, 전극 플레이트를 포함하는, 라미네이트(laminate)의 저면도이다.
도 10은 설치된 위치에 있는 라미네이트를 보여주는 측단면도이다.
도 11은 30% 듀티 사이클(duty cycle)을 가진 RF 코일로의 RF 전력의 펄스를 보여주는 그래프이다.
도 12는 50% 듀티 사이클에서의 도 11과 유사한 그래프이다.
도 13은 펄스형 RF 전력을 이용한 질소 플라즈마 처리 이전 및 이후의 두께 변화를 보여주는 그래프이고, 통합된 질소의 측정을 제공한다.
도 14는 상이한 연속 RF 전력 세팅에서 처리된 상이한 샘플들에 대한 두께 변화를 보여주는 그래프이다.
도 15는 2개의 펄스 주파수에 대한 RF 소스 피크 전력의 함수로서 두께 변화를 보여주는 그래프이다.
도 16은 2개의 펄스 주파수에 대한 듀티 사이클의 함수로서 두께 변화를 보여주는 그래프이다.
도 17은 여러가지 펄스 주파수 및 듀티 사이클에서의 500 W 피크 전력에 대한 광학 방출 스펙트럼을 보여주는 그래프이다.
도 18은 여러가지 펄스 주파수 및 피크 전력에서의 50% 듀티 사이클에 대한 광학 방출 스펙트럼을 보여준다.
도 19는 유효 전력 대 피크 강도의 그래프이다.
도 20은 유효 전력 대 피크 강도에 대한 또다른 그래프이다.
도 21은 전자 온도 대 이온화 소스에 공급되는 전력의 주파수에 대한 그래프이다.
도 22는 게이트 트랜스컨덕턴스 대 NMOS 장치에 대한 질소 함유량에 대한 그래프이다.
도 23은 게이트 트랜스컨덕턴스 대 PMOS 장치에 대한 질소 함유량에 대한 그 래프이다.
도 1 및 도 2는 챔버(12), 기판 홀더(14), RF 코일(16) 및 전극 플레이트(18)를 포함하는, 본 발명의 실시예에 따른 플라즈마 반응기(10)를 도시한다. 전극 플레이트(18)는 챔버(12)의 바디를 통해 접지(20)에 연결된다. 전극 플레이트(18)를 접지함으로써, RF 코일(16)과 챔버(12)의 내부 체적(24) 내 플라즈마(22) 사이의 용량성 결합이 제거된다. 용량성 결합의 제거는 이온 밀도 및 전자 밀도와 같은 플라즈마(22)의 다른 속성들을 현저히 변경하지 않으면서 플라즈마(22)의 전위를 감소시킨다. RF 코일(16)로부터의 유도성 결합은 제거되지 않으며, 이러한 유도성 결합은 플라즈마(22)를 형성하고 유지한다.
도 1을 보다 구체적으로 참조하면, 플라즈마 반응기(10)는 하부 전송 챔버(26) 및 전송 메커니즘(28)을 더 포함한다. 챔버(12)는 전송 챔버(26)의 최상부 상에 배치된다. 전송 챔버(26)의 내부 체적(30)은 챔버(12)의 기저부에 있는 원형 개구(32)를 통해 챔버(12)의 내부 체적(24)과 연통되도록 배치된다. 기판 홀더(14)는 전송 메커니즘(28)의 최상부 상에 고정되고, 전송 메커니즘(28)은 기판 홀더(14)를 상승 또는 하강시키기 위하여 사용될 수 있다.
사용시, 전송 메커니즘(28)은 기판 홀더(14)가 전송 챔버(26)의 내부 체적(30) 내로 하강되도록 동작된다. 그 다음에, 로봇 암에 부착된 블레이드(blade) 상에 배치된 웨이퍼 기판이 전송 챔버(26)의 벽에 있는 슬릿 밸브 개구를 통해 내부 체적(30)으로 전송된다. 그 다음, 전송 메커니즘(28)이 기판 홀더(14)를 상승시키도록 동작하여, 기판 홀더(14)가 웨이퍼 기판의 하부 표면에 접촉하여 웨이퍼 기판을 블레이드로부터 상승시킨다. 다음, 블레이드가 전송 챔버(26)로부터 제거되고, 그 후에 전송 메커니즘(28)은 다시 기판 홀더(14)를 개구(32) 안으로 상승시키도록 동작한다. 그 다음, 기판 홀더(14) 상에 위치된 웨이퍼 기판은 챔버(12)의 내부 체적(24)에 노출되는 상부 표면을 갖는다.
챔버(12)는 기본적으로 전도성 바디(36) 및 유전체 석영(quartz) 상부 벽(38)을 포함한다. 전도성 바디(36)는 챔버(12)의 하부 부분을 형성하고, 상부 벽(38)은 챔버(12)의 상부 부분을 형성한다. 전도성 바디(36) 및 상부 벽(38)은 함께 내부 체적(24)을 한정한다.
4개의 가스 노즐 포트들(40)이 전도성 바디(36)를 관통하여 내부 체적(24)에 형성된다. 가스 노즐 포트들(40)이 기판 홀더(14) 둘레에서 90°간격으로 배치된다. 또한, 도전성 바디(36)는 한쪽 측면부 상에 진공 펌핑 채널(42)을 형성한다. 가스 노즐 포트들(40)은 밸브들을 통해 가스 매니폴드와 연결되고, 진공 펌핑 채널(42)은 펌프와 연결된다. 펌프가 동작하면, 내부 체적(24)의 압력이 감소되도록 가스들이 진공 펌핑 채널(42)을 통해 내부 체적(24)으로부터 배출된다. 밸브들은 매니폴드로부터 밸브들 및 가스 노즐 포트들(40)을 통과해 내부 체적(24)으로 가스들이 들어갈 수 있도록 동작될 수 있다.
도 2를 더 구체적으로 참조하면, 상부 벽(38)은 돔 형상을 갖고, 전극 플레이트(18)는 상부 벽(38)의 외부 표면을 따르는 돔 형상을 갖는다. 사실 전극 플레이트(18)는 상부 벽(38) 상에 직접 위치된다. 전극 플레이트(18)는 상부 벽(38)의 중심부 위로 원형 개구(44)를 한정한다. 상부 벽(38) 및 전극 플레이트(18)는 수직 축(46)을 중심으로 대칭적이다.
코일(16)은 수직 축(46) 및 개구(44) 둘레에 나선형으로 감긴다. 코일(16)은 전극 플레이트(18) 상에 배치되고 전극 플레이트(18)의 돔 형상을 따른다. 코일(16)의 한쪽 단부는 RF 소스(50)와 연결되고, 코일(16)의 반대 단부는 접지(52)와 연결된다.
이제 도 2 및 도 3을 함께 참조한다. 웨이퍼 기판이 플라즈마 반응기(10) 내로 삽입되어 기판 홀더(14)의 상부 표면상에 배치되기 전에, 에피택셜 실리콘 층(54)이 웨이퍼 기판의 상부 표면상에 형성된다. 또한 얇은 이산화 실리콘층(58)도 웨이퍼 기판이 플라즈마 반응기(10) 내로 삽입되기 전에 실리콘층(54) 상에 성장된다. 이산화 실리콘층(58)은 대략 수 옹스트롬(예를 들어, 40 Å) 정도의 두께이고, 이후에 최종적으로 제조된 트랜지스터에서 게이트 유전체층으로 사용된다. 웨이퍼 기판을 플라즈마 반응기 내로 삽입하는 목적은 이산화 실리콘층의 유전체 속성들을 수정 또는 개선하기 위해 질소(N)를 이산화 실리콘층(58) 안으로 통합하기 위함이다. 질소 이온들(N2 +)로 이루어진 플라즈마(22)가 내부 체적(24)에 형성된다. 질소 이온들은 이산화 실리콘층(58) 안으로 질소 이온들이 통합되게 하는 플라즈마의 속성들에 의해 한정된 에너지를 갖는다.
플라즈마는 우선 내부 체적(24)내의 압력을 미리 설정된 레벨로 감소시킴으로써 형성된다. 그 다음, 질소 함유 가스가 내부 체적(24)에 도입된다. 예를 들어, 질소 함유 가스는 순수 질소(N2), 질소와 헬륨 가스의 혼합물(N2/He), 또는 질소와 아르곤 가스의 혼합물(N2/Ar)일 수 있다. 추가 논의를 위해, 예시들은 가스가 순수 질소 가스인 것으로 가정된다.
그 다음, RF 소스(50)는 13.56 MHz의 주파수에서 코일(16)에 RF 전류를 제공하도록 동작한다. RF 코일(16)은 전극 플레이트(18)에 의해 상부 벽(38) 전체에 걸쳐 분포되는 RF 필드를 생성한다. 원형 개구(44)는 RF 필드가 상부 벽(38)을 통해 내부 체적(24)으로 진입할 수 있게 한다. 그 다음, RF 필드는 내부 체적(24) 의 질소 가스와 결합한다. RF 필드는 초기에 적은 수의 자유 전자들을 여기시킨다. 다음, 자유 전자들은 다른 원자들과 충돌하여 이들 원자들로부터 더 많은 전자들을 방출시킨다. 프로세스는 정상 상태 조건(steady-state condition)이 달성될 때까지 계속되고, 정상 상태에서 플라즈마(22)는 안정된 양의 자유 전자 및 자유 이온, 안정된 전자 온도, 및 접지에 대해 일정한 전압을 갖는다. 이온들의 "저장소(reservoir)"가 내부 체적(24) 내에 형성되고, 플라즈마(22)의 전압 전위는 상기 저장소로부터의 이온들을 이산화 실리콘층(58) 안으로 통합하는 것을 돕는다. 기판 및 기판 홀더(14)의 전위는 전체 프로세스 동안 자유롭게 플로팅(float)하지만, 플라즈마(22)의 전압과 기판 홀더(14) 전압에 차이가 존재하고, 이러한 차이는 이온들의 통합을 수행한다. 상기 차이는 순간 전자 온도에 비례하고, 질소 통합은 시간-평균 전자 온도에 의해 수행된다. 본 명세서에서 "전자 온도"로 언급된 것은 수 회(예를 들어, 수천 회)의 펄스 사이클에 걸쳐 "시간-평균된 전자 온도"를 의미하는 것으로 이해되어야 한다.
전극 플레이트(18)를 접지시키지 않은 경우, RF 코일(16)은 플라즈마(22)와 용량성으로 결합한다. RF 코일(16)과 플라즈마(22) 간의 이러한 용량성 결합은 플라즈마(22)의 전압을 증가시킨다. 역으로, 전극 플레이트(18)를 접지함으로써, 용량성 결합은 상당히 감소하고, 플라즈마(22)의 전압이 감소된다. 플라즈마 전위 및 전자 온도는 감소되지만, 이온 밀도는 여전히 비교적 높은 상태로 남아있다. SiO2를 통과해 실리콘 기판 안으로 질소가 과도하게 통합하는 것을 방지하기 위하여, 플라즈마 전위는 바람직하게 10V 미만이다. 전자 온도는 바람직하게 대략 2 eV 또는 그 미만이다. 이온 밀도는 바람직하게 적어도 1010cm-3이다.
도 4는 전극 플레이트가 없는 경우, 통상의 비접지된 전극 플레이트를 사용하는 경우, 및 접지된 전극 플레이트를 사용하는 경우의 실험 결과를 각각 도시한다. 각각의 경우에, 실험 결과들은 RF 코일(16)에 300W, 500W, 및 900W의 전력을 인가한 경우에 대해 얻어졌다. 블록 또는 삼각형이 더 클수록 전력 크기가 더 크다는 것을 나타낸다. RF 코일(16)에 공급되는 주어진 전력에서, 플라즈마 전압(Vp)은 전극 플레이트를 접지한 경우에 가장 작고, 전극 플레이트를 접지하지 않은 경우가 다음으로 크며, 전극 플레이트가 존재하지 않는 경우에 가장 크다. 다른 실시예들에서, RF 코일(16)에 공급되는 유효 RF 전력은 160 내지 3000 W 사이일 수 있다. 10V 이하의 전위는 전극 플레이트를 접지시키지 않고는 달성될 수 없다. 또한 주목해야 할 점은 전위들이 RF 코일에 제공되는 전력을 증가시키더라도 실질적으로 증가하지 않는다는 것이다. 심지어 1000W 이상의 매우 큰 전력(예를 들어, 1400W)조차, 5 밀리토리(mT) 이상의 압력에서 20V 이하의 플라즈마 전압을 형성하고, 40 mT 이상의 압력에서 10V 미만의 플라즈마 전압들을 형성한다.
도 5는 도 4에 대한 조건 하에서의 플라즈마의 플로팅 전압을 도시한다. 웨이퍼의 전위는 Vf 또는 그 근처이다. 다시, 기판 전압(Vs)은 전극 플레이트를 접지시킨 경우에 가장 작고, 전극 플레이트를 접지시키지 않은 경우에 다음으로 크고, 전극 플레이트가 존재하지 않는 경우에 가장 크다는 것을 알 수 있다.
도 6 및 도 7은 각각 전자 밀도와 이온 밀도를 도시한다. RF 코일(16)에 인가되는 주어진 전력 크기에 대하여, 접지된 전극 플레이트를 사용할 때의 전자 밀도(또는 이온 밀도)와 접지되지 않은 전극 플레이트를 사용할 때의 전자 밀도(또는 이온 밀도) 사이에는 매우 작은 차이만이 존재한다. 도시되지 않았지만, 50 ×109 cm-3 이상의 이온 밀도는 1000W 이상의 RF 전력이 RF 코일에 공급될 때 달성될 수 있다.
도 8은 전자 온도를 도시한다. 낮은 압력에서는, 접지된 전극 플레이트를 사용할 때, 접지되지 않은 전극 플레이트를 사용할 때, 또는 전극 플레이트를 사용하지 않을 때, 전자 온도들 간의 차이는 비교적 작다. 그러나, 통상적으로 40 mT 이상의 높은 압력에서는, 전자 온도는 접지된 전극 플레이트를 사용할 때에 비해 접지되지 않은 전극 플레이트를 사용하거나, 또는 전극 플레이트를 사용하지 않을 때 훨씬 더 높다.
도 9 및 도 10을 참조하면, 전극 플레이트(18)는 2개의 유전체 시트들(60 및 62) 사이에 적층된다. 전극 플레이트(18) 및 유전체 시트들(60 및 62)은 스트립들(64)에 형성되고, 상기 스트립들(64)이 서로 접히면 총체적으로 돔 형상을 형성한다. 유전체 시트(60)는 전극 플레이트(18)와 RF 코일(16) 사이의 상부에 배치된다. 유전체 시트(62)는 전극 플레이트(18) 및 상부 벽(38) 사이에 위치된다. 전극 플레이트의 단부들은 유전체 시트(62)로 커버되지 않고, 노출되는 랜드(land)들(66)을 남겨둔다. 노출된 랜드들(66)은 전극 플레이트(18)를 전도성 바디(36)에 접지하기 위하여 전도성 바디(36)의 전도성 부분과 접촉된다. 랜드들(66)이 전극 플레이트(18)의 주변부에 배치되어, 전극 플레이트(18)는 주변에서 접지된다. 전극 플레이트(18)의 주변 접지(peripheral grounding)는 전체 전극 플레이트(18)가 가능한 제로 볼트에 근접하도록 보장한다.
또한 플라즈마 전압은 RF 코일(16)에 제공되는 RF 전력을 펄싱(pulsing)함으로써 감소될 수 있다. 비록 RF 코일(16)에 제공되는 RF 전력의 펄싱 외에 전극 플레이트(18)가 접지될 수도 있지만, 지금부터 제공되는 예에서는 전극 플레이트(18)가 접지되지 않았다.
도 11 및 도 12에 도시된 바와 같이, 13.56 MHz의 주파수 및 미리 설정된 피크 전력을 갖는 RF 전력이 RF 코일(16)에 제공된다. RF 전력은 자동적으로 스위치 온 및 스위치 오프될 수 있다. 즉, RF 전력은 자동적으로 펄싱될 수 있다. 제공되는 본 예에서, RF 전력은 10 kHz의 주파수에서 자동적으로 펄싱될 수 있다. 다른 예에서, RF 전력은 1 kHz 내지 100 kHz 사이의 주파수에서 펄싱될 수 있다. 질소 플라즈마의 조성(composition)은 RF 전류를 높은 상태와 낮은 상태 사이에서 변화시킴으로써 연속적으로 변화된다. 도 11에서, 듀티 사이클, 즉, RF 전력이 온되는 총 시간량은 30%이고, 도 12에서 듀티 사이클은 50%이다. RF 소스(50)는 펄싱-가능하며(pulsing-enabled), 펄싱 주파수와 듀티 사이클은 모두 수동으로 조정될 수 있다. 유효 전달 전력은 피크 전력과 듀티 사이클의 곱이다. 다른 예에서, 듀티 사이클은 10% 내지 90% 사이일 수 있다. 주어진 예에서, RF 전력의 크기는 0%내지 100% 사이에서 연속적으로 변화되지만, 다른 예에서, 상기 크기는, 예를 들어, 10% 내지 100% 사이에서 변화될 수 있다.
질소의 통합을 측정하는 한 가지 방법은 질소 플라즈마 처리 이전과 이후의 두께 변화("광학적 델타(optical delta)")를 측정하는 것이다. 두께 변화가 클수록 더 많은 질소가 통합되었음을 나타낸다. 도 13에 도시된 바와 같이, 연속 전력을 사용하여 통합된 질소의 양은 펄스형 전력을 사용하여 달성될 수 있고, 통합된 질소의 양은 유효 전달 전력에 대략 비례한다. 광학적 두께의 변화는 펄싱 주파수에 비교적 민감하지 않다.
도 14는 연속 RF 소스 전력을 이용하여 준비된 샘플들에 대한 광학적 델타를 도시하는데, 전력을 이용해 통합된 질소의 포화는 펄스형 전력 및 연속 전력 모두에서 관찰된다.
도 15 및 도 16은 소스 전력 및 듀티 사이클에 대해 그려진 도 13과 동일한 데이터를 보여주며, 도 13과 동일한 경향을 보여준다.
도 17 및 도 18에서, 광학 방출 스펙트럼이 광학 방출 분광계로 포착된다. 도 17에서 알 수 있는 바와 같이, 고정된 피크 RF 전력(500W)에 대해 듀티 사이클을 증가시킴에 따라, 스펙트럼은 500 W 연속 전력 스펙트럼(최상부 라인)에 근접한다. 펄싱 주파수는 관찰되는 세기에 대해 작은 영향을 미친다. 도 18은 펄스형 RF 방출 레벨이 피크 RF 전력을 증가시킴으로써 연속 전력 방출 레벨(최상부 라인)로 회복될 수 있음을 보여준다. 다시, 방출은 펄싱 주파수에 비교적 민감하지 않다.
도 13 내지 도 16은 연속 RF 전력의 통합과 유사한 웨이퍼 상의 질소 통합이 펄스형 RF 플라즈마에서도 가능함을 나타낸다. 도 17 및 도 18은 연속 RF 전력 플라즈마와 유사한 이온 밀도를 가진 플라즈마가 펄스형 RF 전력으로 달성될 수 있음을 나타낸다. 이러한 데이터는 연속 전력에 비해 전자 온도와 플라즈마 전위를 감소시키는 펄스형 RF 전력의 효과와 결합하여, RF 전력의 펄싱이 더 낮은 에너지 레벨에서 질소를 게이트 유전체 산화물들 안으로 통합시키는 방법을 제공할 수 있음을 나타낸다. 산화물에 동일한 양의 질소가 통합되지만, 펄스형 플라즈마의 질소 이온들은 펄스형 플라즈마의 더 낮은 플라즈마 전위들 때문에 연속 전력 플라즈마의 이온들보다 느리게 웨이퍼 안으로 가속된다. 이러한 감소된 가속으로 인해, 질소는 산화물 및 하부에 놓인 실리콘 안으로 많이 관통하지 않을 것이다.
여러 이온 에너지(10 eV 내지 30 eV)에서 얇은 산화물층을 통과해 실리콘 안으로, 특히 Si(100) 안으로, 이온을 주입하는 시뮬레이션은 더 낮은 에너지에서 더 적게 관통한다는 것을 보여주며, 이것은 쉽게 예측할 수 있다. 펄스형 질소 플라즈마를 사용한 상기 저에너지 방식으로 질소 통합을 달성하는 것은 개선된 유전체를 제공할 수 있고, 개선된 유전체는 트랜지스터 성능의 개선을 직접 유도할 것이다.
본 발명의 또다른 실시예에서, 질소 함유 플라즈마는 처리 챔버와 연결된 이온화 소스를 통해 처리 챔버에 생성될 수 있다. 질소 함유 플라즈마는 트랜지스터 게이트 질화 프로세스에 사용될 수 있다. 즉, 질소 함유 플라즈마는 트랜지스터 게이트 유전체층을 질화시키는데 사용될 수 있다. 예를 들어, 이온화 소스는 앞서 일반적으로 논의된 바와 같이, 유도성 코일 타입 안테나 구성을 포함할 수 있고, 일반적으로 방사상 라인 안테나 소스로 알려진 슬롯 라인 마이크로파 타입 이온화 소스(slotted line microwave-type ionizing source), 전자 사이클로트론 공진 소스(electronic cyclotron resonance source; ECR 소스), 마그네트론 또는 변형된 마그네트론 타입 소스, 또는 처리 챔버 내의 플라즈마 생성을 촉진하기 위해 일반적으로 사용될 수 있는 다른 이온화 소스들을 포함할 수 있다. 부가하여, 본 발명의 본 실시예에서, 질소 함유 플라즈마는 종래의 플라즈마 처리 챔버들에 의해 제공된 것보다 더 낮은 전자 온도를 생성하기 위해 플라즈마 펄싱 시퀀스를 통해 제어될 수 있다. 특히, 본 발명의 본 실시예에 의해 제공된 펄스형 플라즈마 제어는 특히 플라즈마 성분들의 평균 에너지를 제어하기 위하여 사용될 수 있고, 상기 플라즈마 성분들의 평균 에너지는 본 명세서에서 일반적으로 전자 온도로 언급된다. 플라즈마에 대한 제어는 더 낮은 전자 온도를 제공하도록 구성되며, 더 낮은 전자 온도는 예를 들어, 소자 특성을 개선하기 위하여, 즉, 게이트 유전체 타입 소자들에 트랜스컨덕턴스의 더 낮은 저하(degradation)와 개선된 채널 이동성(channel mobility)을 제공하기 위하여, 게이트 질화 프로세스에 사용될 수 있다.
본 발명의 플라즈마 펄싱 시퀀스는 일반적으로 짧은 시간 주기 동안 질소 함유 플라즈마에 에너지를 가하고, 그 후 플라즈마가 시간 주기 동안 완화 또는 소산할 수 있도록 동작한다. 소산(dissipation) 시간 주기는 질소 함유 플라즈마를 유지하면서도 전자 온도가 감소되도록 한다. 그리하여, 일반적으로 본 발명의 실시예들은 이후의 오프(upcoming off) 또는 완화 시간 동안 플라즈마를 유지하기에 충분한 양의 이온화 소스 에너지를 펄싱하도록 동작할 것이다. 즉, 펄스-온 시간은 플라즈마에 에너지가 공급되지 않는 이후의 오프 또는 완화 시간 동안에도 플라즈마를 유지할 수 있는 충분한 에너지를 제공하기 위해 계산된다. 이온화 소스 펄스들의 오프 또는 완화 구간 동안, 플라즈마의 전자들은 자유로이 확산된다. 그러나, 얼마나 빨리 전자들이 확산하는지는 전자 에너지와 직접 관련되어 있음이 공지되어 있다. 따라서, 더 높은 에너지 전자들, 즉, 더 고온의 전자들이 더 낮은 에너지를 가진 전자들보다 플라즈마로부터 더 빨리 확산될 것이고, 이것은 플라즈마의 성분들의 더 낮은 평균 에너지, 즉, 더 낮은 전자 온도 및 더 낮은 온도의 플라즈마를 야기한다.
따라서, 이온화 소스에 의해 제공된 펄스들의 듀티 사이클도 플라즈마의 성분들의 평균 온도에 영향을 미친다. 특히, 더 긴 펄스-온 시간에 대응하는 더 큰 듀티 사이클은 더 고온의 플라즈마를 생성하는데, 그 이유는 플라즈마의 전자들이 펄스-온 시간 동안 더 긴 시간 주기 동안 여기되고 있기 때문이다. 따라서, 일반적으로 본 발명의 실시예들은 플라즈마 이온화 펄스들의 듀티 사이클이 약 5% 내지 약 90% 사이에 있을 수 있다고 고려한다. 더욱 구체적으로, 듀티 사이클은 플라즈마 성분들의 목적하는 평균 온도를 생성하기 위해 예를 들어, 약 10% 내지 약 80% 사이, 약 25% 내지 약 60% 사이, 및 약 30% 내지 약 50% 사이일 수 있다. 또한, 본 발명의 실시예들은 이온화 플라즈마 소스가 전자 온도를 제어하기 위하여 의사-펄싱 방식(pseudo-pulsing manner)으로 사용될 수 있다고 고려한다. 예를 들어, 펄싱에 대한 대안으로서, 이온화 소스의 출력은 플라즈마 온도를 제어하기 위하여 약 5% 내지 약 100% 사이에서 변화될 수 있다. 일반적으로, 본 발명의 실시예들은 전자 온도를 제어하기 위하여 펄스의 온 및 오프 시간을 최적화하는 것을 고려한다.
본 발명의 일 실시예에 대한 예로서, 도 21은 플라즈마를 생성하기 위하여 이온화 소스를 사용하는 플라즈마 처리 챔버에 대한 전자 온도 대 펄스형 RF 주파수의 도표를 도시한다. 데이터는 앞서 논의된 바와 같이, 매칭된 이온 밀도, 전력 인가, 챔버 압력, 및 처리 가스 흐름을 사용하는 유도성 코일 구성 이온화 소스를 사용하여 얻었다. 일반적으로 제 1 데이터 포인트(1901)는 펄싱 없는 플라즈마 시작 시점에서의 전자 온도를 나타낸다. 제 1 데이터 포인트(1901)에서, 이온화 소스에 공급되는 전력은 일정하며, 즉 변하거나 펄싱되지 않으며, 따라서, 일단 플라즈마가 안정화되었을 때 전자 온도는 2eV로 측정되었다. 제 2 데이터 포인트(1902)는 이온화 소스에 공급되는 전력이 500 Hz의 주파수로 펄싱되었을 때의 플라즈마의 전자 온도를 보여준다. 이 주파수에서, 전자 온도는 대략 0.6 eV로 측정되었다. 제 3 데이터 포인트(1903)는 이온화 소스에 공급되는 전력이 5 kHz의 주파수로 펄싱되었을 때의 플라즈마의 전자 온도를 보여준다. 이 주파수에서, 전자 온도는 대략 0.55 eV로 측정되었다. 제 4 데이터 포인트(1904)는 이온화 소스에 공급되는 전력이 10 kHz의 주파수로 펄싱되었을 때의 플라즈마의 전자 온도를 보여준다. 이 주파수에서, 전자 온도는 대략 0.55 eV로 측정되었다. 제 5 데이터 포인트(1905)는 이온화 소스에 공급되는 전력이 30 kHz의 주파수로 펄싱되었을 때의 플라즈마의 전자 온도를 보여준다. 이 주파수에서, 전자 온도는 대략 0.55 eV로 측정되었다. 제 6 데이터 포인트(1906)는 이온화 소스에 공급되는 전력이 50 kHz의 주파수로 펄싱되었을 때의 플라즈마의 전자 온도를 보여준다. 이 주파수에서, 전자 온도는 대략 1.25 eV로 측정되었다.
따라서, 도 21은 일반적으로 펄스형 플라즈마의 구현이 플라즈마의 전자 온도를 제어하도록 동작할 수 있음을 보여준다. 또한, 도 21은 일반적으로 전자 온도를 0.6eV 미만으로 유지하면서도 이온화 소스에 인가되는 전력의 주파수가 약 500 Hz 내지 약 50 kHz 사이에서 변할 수 있음을 보여준다("브로드캐스팅 문제"는 대략 100 kHz에서 발생함). 또한, 주파수가 약 50 kHz까지 증가되더라도, 여전히 전자 온도는 약 1.25 eV 미만이다. 이와 같이, 본 발명의 실시예들은 일반적으로 플라즈마의 전자 온도를 제어하기 위하여, 더욱 구체적으로는, 약 0.7 eV 미만의 플라즈마의 전자 온도를 유지하기 위하여, 플라즈마를 펄싱하는데 이온화 소스를 사용한다. 특히, 본 발명의 실시예들은 전자 온도를 약 0.6 eV 미만으로 유지하면서, 약 1 kHz 내지 약 30 kHz 사이의 주파수로 처리 챔버 내 플라즈마를 펄싱하도록 구성된 이온화 소스를 제공한다. 그러나, 비록 도 21의 데이터 포인트들은 특정 매개변수들, 즉, RF 전력, 압력, 가스 흐름 등을 사용하여 얻었지만, 연속파 플라즈마를 사용하는 전자 온도와 펄스형 플라즈마를 사용하는 전자 온도 간의 관계는, 보조적인 매개변수와 무관하게, 펄스형 플라즈마를 사용한 경우의 전자 온도가 연속파 플라즈마를 사용한 경우의 전자 온도보다 항상 낮다는 것이다.
도 22는 막 내의 질소 비율의 함수로서 최대 채널 트랜스컨덕턴스(gmmax)의 변화를 나타내는 데이터를 도시한다. 트랜지스터의 제조에서, 가능한 최소의 변화 또는 변동 트랜스컨덕턴스가 바람직하지만, 일반적으로 질소는 트랜지스터 제조 프로세스 안으로 도입되고, 그것은 트랜지스터 게이트 누설을 감소시키고, 폴리실리콘 게이트 전극으로부터의 붕소의 확산을 방지하고, 전기적 두께를 감소시키는 것으로 알려져 있으며, 이것은 개선된 오프 상태 제어를 제공한다는 것에 주의해야 한다. 따라서, 비록 질소를 제거함으로써 최대 채널 트랜스컨덕턴스 변동이 감소될 수 있을지라도, 질소의 제거는 게이트 누설, 확산 및 오프 상태 제어에 상당한 부정적인 영향을 줄 것이다. 이러한 이유로, 본 발명은 질소 및 질소 함유에 의해 제공되는 이점들을 유지하면서, 최대 채널 트랜스컨덕턴스 변동을 감소시키도록 동작한다.
구체적으로, 도 22는 질소 함유 플라즈마를 펄싱하도록 구성된 이온화 소스과 연속파 구성을 통해 생성된 질소 함유 플라즈마에 대한 최대 채널 트랜스컨덕턴스의 차이를 도시한다. 연속파 구성 및 플라즈마를 펄싱하도록 구성된 이온화 소스에 대한 각각의 데이터 포인트들은 그래프 삽입문에 의해 지시된 바와 같은 매칭 압력, 전력, 지속 시간, 및 듀티 사이클(50%)을 사용하여 수집되었다. 예를 들어, 데이터 포인트들(2001)은 연속파 구성에서의 최대 채널 트랜스컨덕턴스 변동과 질소 비율 간의 관계를 나타낸다. 데이터 포인트들(2001)은 약 12.8 퍼센트의 질소 함유량에 대하여 약 18.5 내지 약 19.5 사이의 최대 채널 트랜스컨덕턴스 변동을 보여준다. 일반적으로 약 5 kHz의 주파수로 질소 함유 플라즈마를 펄싱하는데 사용된 이온화 소스를 나타내는 데이터 포인트들(2002)은, 일반적으로 약 17.5 내지 18.25 사이의 최대 채널 트랜스컨덕턴스 변동값 및 약 13.2 퍼센트의 질소 함유량을 보여준다. 일반적으로 약 10 kHz의 주파수로 질소 함유 플라즈마를 펄싱하는데 사용된 이온화 소스를 나타내는 데이터 포인트들(2003)은, 일반적으로 약 18.0 내지 18.25 사이의 최대 채널 트랜스컨덕턴스 변동값 및 약 13.9 퍼센트의 질소 함유량을 보여준다. 일반적으로 약 20 kHz의 주파수로 질소 함유 플라즈마를 펄싱하는데 사용된 이온화 소스를 나타내는 데이터 포인트들(2004)은, 일반적으로 약 17.25 내지 17.75 사이의 최대 채널 트랜스컨덕턴스 변동값 및 약 13.8 퍼센트의 질소 함유량을 보여준다. 일반적으로 약 100 kHz의 주파수로 질소 함유 플라즈마를 펄싱하는데 사용된 이온화 소스를 나타내는 데이터 포인트들(2005)은, 일반적으로 약 16.25 내지 17.0 사이의 최대 채널 트랜스컨덕턴스 변동값 및 약 13.3 퍼센트의 질소 함유량을 보여준다. 일반적으로, 질소 함유 플라즈마를 펄싱하도록 구성된 이온화 소스를 사용하는 데이터 포인트들, 즉, 데이터 포인트들(2002, 2003, 2004 및 2005)은 연속파 타입 구성을 사용해 생성된 질소 함유 플라즈마에 비해 개선된 최대 채널 트랜스컨덕턴스 특성을 제공한다.
도 22에 표현된 gmmax의 변화에 있어서의 개선과 유사한 개선이 임계 전압 변동 및 포화 드레인 전류에 대해서도 달성된다. 도 22는 NMOS 트랜지스터에 대한 결과를 보여준다. 도 23에 도시된 바와 같이, 유사한 개선들이 PMOS 트랜지스터에 대해서도 달성될 수 있다.
비록 얇은 게이트 이산화 실리콘 내로의 질소 통합이 설명되었지만, 서술된 프로세스들은 다른 게이트 유전체 재료, 특히, HfO2, Hf1-x, 또는 SixO2와 같은 높은 k값 유전체 재료로의 질소 통합을 위한 응용예들을 가질 수 있다.
또한, 비록 이온 에너지의 펄싱이 유도성 코일 이온화 소스와 관련하여 설명되었지만, 다른 실시예는 예를 들어, 방사상 라인 슬롯 안테나 이온화 소스, 마그네트론 타입 소스, 또는 전자 사이클로트론 공진 이온화 소스를 사용할 수 있다.
특정한 예시적인 실시예들이 설명되고 첨부 도면에 도시되었지만, 그러한 실시예들은 단지 예시적일 뿐이고 제한적이지 않으며, 당업자는 변형을 가할 수 있기 때문에 본 발명은 도시되고 설명된 특정 구성 및 배열에 제한되지 않는다.

Claims (36)

  1. 챔버에 기판을 배치하는 단계 ― 상기 챔버는 상기 챔버의 유전체 벽 부근 외부에 위치된 RF 코일에 결합되며 상기 RF 코일과 상기 유전체 벽 사이에 위치되는 전극 플레이트에 결합되며, 상기 전극 플레이트는 접지됨 ―
    상기 RF 코일에 RF 전력을 제공하는 단계 ― 상기 RF 전력은 10% 내지 90%의 듀티 사이클로 펄싱되며 상기 챔버에 질소-함유 플라즈마가 생성되도록 상기 RF 코일은 상기 챔버에 RF 필드를 생성하며, 상기 플라즈마는 적어도 1010 cm-3의 이온 밀도를 가지며, 상기 전극 플레이트는 상기 플라즈마의 전위를 10 V 미만으로 감소시킴 ―; 및
    상기 기판상의 층에 상기 플라즈마의 질소가 통합되도록 상기 기판상의 층을 상기 플라즈마에 노출시키는 단계
    를 포함하는, 기판 처리 방법.
  2. 제 1항에 있어서,
    상기 플라즈마는 2 eV 미만의 전자 온도를 갖는, 기판 처리 방법.
  3. 청구항 3은(는) 설정등록료 납부시 포기되었습니다.
    제 1항에 있어서,
    상기 층은 이산화 실리콘인, 기판 처리 방법.
  4. 삭제
  5. 삭제
  6. 삭제
  7. 제 1 항에 있어서,
    상기 유전체 벽은 돔-형상이며, 상기 RF 코일은 상기 유전체 벽을 관통하는 축을 중심으로 나선형으로 감기며, 상기 전극 플레이트에는 개구가 포함되며, 상기 개구는 상기 유전체 벽의 상부 부분의 중심부 상에 형성되는, 기판 처리 방법.
  8. 청구항 8은(는) 설정등록료 납부시 포기되었습니다.
    제 7항에 있어서,
    상기 개구는 상기 RF 코일에 의해 표시되는 주변부 내에 있는, 기판 처리 방법.
  9. 청구항 9은(는) 설정등록료 납부시 포기되었습니다.
    제 1 항에 있어서,
    상기 RF 전력은 1kHz 내지 100kHz의 주파수에서 펄싱되는, 기판 처리 방법.
  10. 청구항 10은(는) 설정등록료 납부시 포기되었습니다.
    제 9 항에 있어서,
    상기 RF 코일에 인가되는 유효 RF 전력은 100 내지 3000 W인, 기판 처리 방법.
  11. 청구항 11은(는) 설정등록료 납부시 포기되었습니다.
    제 10 항에 있어서,
    상기 챔버의 압력은 적어도 5 mT이고, RF 전력은 적어도 1000 W이며, 이온 밀도는 적어도 5 ×1010 cm-3인, 기판 처리 방법.
  12. 청구항 12은(는) 설정등록료 납부시 포기되었습니다.
    제 11 항에 있어서,
    상기 압력은 적어도 40 mT인, 기판 처리 방법.
  13. 청구항 13은(는) 설정등록료 납부시 포기되었습니다.
    제 9 항에 있어서,
    상기 RF 전력은 10 내지 90%의 듀티 사이클로 펄싱되는, 기판 처리 방법.
  14. 청구항 14은(는) 설정등록료 납부시 포기되었습니다.
    제 9 항에 있어서,
    상기 RF 전력은 1 kHz 내지 100 kHz의 주파수에서 펄싱되는, 기판 처리 방법.
  15. 플라즈마 처리 챔버 내에 기판을 위치시키는 단계;
    상기 챔버 안으로 질소 함유 가스를 흘려 보내는 단계;
    상기 챔버에서 RF 필드를 생성하기 위하여 코일에 RF 전류를 제공하는 단계 ― 상기 RF 필드는 상기 가스로부터 질소 함유 RF 플라즈마를 생성하고, 상기 RF 전력은 1 kHz 내지 100 kHz의 주파수에서 펄싱됨 ―; 및
    상기 기판상에 형성된 층을 상기 RF 플라즈마에 노출시킴으로써, 상기 플라즈마로부터의 질소를 상기 기판상에 형성된 층에 통합시키는 단계
    를 포함하는, 기판 처리 방법.
  16. 제 15 항에 있어서,
    상기 질소 함유 플라즈마의 조성(composition)은 상기 RF 전류의 펄싱(pulsing)에 의해 변하는, 기판 처리 방법.
  17. 플라즈마 처리 챔버내에 기판을 위치시키는 단계;
    상기 챔버 안으로 질소 함유 가스를 흘려 보내는 단계;
    상기 챔버의 유전체 벽 부근 외부에 배치된 코일에 RF 전류를 제공하는 단계 ― 상기 코일과 상기 유전체 벽 사이에 전극 플레이트가 배치되고, 상기 전극 플레이트는 20 V 미만의 전압에 있으며, RF 필드가 상기 가스로부터 RF 플라즈마를 형성함 ―; 및
    상기 기판상의 층을 상기 RF 플라즈마에 노출시킴으로써, 상기 플라즈마의 질소 이온들을 상기 기판상의 층에 통합시키는 단계
    를 포함하는, 기판 처리 방법.
  18. 제 17 항에 있어서,
    상기 전극 플레이트는 접지되는, 기판 처리 방법.
  19. 제 17 항에 있어서,
    상기 챔버의 압력은 적어도 5 mT이고, 상기 코일에 인가되는 RF 전력은 적어도 1000 W이며, 상기 플라즈마의 전위는 20 V 미만이며, 이온 밀도는 적어도 5 ×1010 cm-3인, 기판 처리 방법.
  20. 청구항 20은(는) 설정등록료 납부시 포기되었습니다.
    제 19 항에 있어서,
    상기 압력은 적어도 40 mT이고, 상기 플라즈마의 전위는 10 V 미만인, 기판 처리 방법.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 챔버의 내부 체적으로 기판을 전송하기 위한 개구를 가지는 챔버;
    상기 기판을 보유(holding)하기 위한 상기 챔버 내의 기판 홀더;
    상기 챔버의 비도전성 벽 부근 외부에 배치된 RF 코일; 및
    상기 RF 코일에 연결되는 RF 소스 ―상기 RF 소스는 RF 전력을 자동적으로 스위치 온 및 스위치 오프 함으로써 상기 RF 코일에 제공되는 상기 RF 전력을 자동적으로 펄싱할 수 있음 ―
    를 포함하는, 플라즈마 반응기.
  29. 삭제
  30. 처리 챔버 안으로 질소 함유 처리 가스 또는 가스 혼합물들을 주입하고 상기 처리 가스에 이온화 에너지를 인가함으로써, 상기 처리 챔버 내에 질소 함유 플라즈마를 생성하는 단계; 및
    0.7 eV 미만의 상기 질소 함유 플라즈마 내의 전자들의 평균 온도를 유지하기 위해 상기 이온화 에너지를 펄싱하도록 구성된 이온화 소스로의 전력을 펄싱함으로써 상기 이온화 에너지를 펄싱하는 단계
    를 포함하는, 질화물 게이트 유전체층 형성 방법.
  31. 제 30 항에 있어서,
    상기 이온화 에너지를 펄싱하는 단계는 5% 내지 50%에서 펄스들의 듀티 사이클을 변화시키는 단계 및 5% 내지 100%에서 이온화 전력을 변화시키는 단계 중 적어도 하나를 포함하는, 질화물 게이트 유전체층 형성 방법.
  32. 청구항 32은(는) 설정등록료 납부시 포기되었습니다.
    제 30 항에 있어서,
    상기 이온화 에너지를 펄싱하는 단계는 유도성 코일 이온화 소스, 방사상 라인 슬롯 안테나 이온화 소스, 변형된 마그네트론 타입 소스들, 및 전자 사이클로트론 공진 이온화 소스 중 적어도 하나를 사용하는 단계를 포함하는, 질화물 게이트 유전체층 형성 방법.
  33. 게이트 유전체층을 질화시키기 위하여 사용되는 질소 함유 플라즈마의 성분들의 평균 에너지를 제어하는 방법으로서,
    상기 질소 함유 플라즈마를 활성화(energize)하기에 충분한 제 1 지속 시간 동안 상기 질소 함유 플라즈마를 유지하기 위하여 사용되는 이온화 소스로의 전력을 펄싱하는 단계 및 상기 이온화 소스를 턴-오프시키는 단계; 및
    상기 질소 함유 플라즈마의 성분들이 제 2 지속 시간 동안 소산(dissipate)될 수 있게 하는 단계 ― 상기 제 2 지속 시간은 0.7 eV 미만인 질소 함유 플라즈마의 전자 온도를 생성하도록 계산됨 ―
    를 포함하는, 질소 함유 플라즈마 성분들의 평균 에너지 제어 방법.
  34. 제 33 항에 있어서,
    상기 이온화 소스로의 전력을 펄싱하는 단계는 5% 내지 50%에서 펄스들의 듀티 사이클을 변화시키는 단계 및 5% 내지 100%에서 이온화 전력을 변화시키는 단계 중 적어도 하나를 포함하는, 질소 함유 플라즈마 성분들의 평균 에너지 제어 방법.
  35. 제 33 항에 있어서,
    상기 이온화 소스로의 전력을 펄싱하는 단계는 유도성 코일 이온화 소스, 방사상 라인 슬롯 안테나 이온화 소스, 변형된 마그네트론 타입 소스들, 및 전자 사이클로트론 공진 이온화 소스 중 적어도 하나를 사용하는 단계를 포함하는, 질소 함유 플라즈마 성분들의 평균 에너지 제어 방법.
  36. 처리 챔버 안으로 기판을 삽입하는 단계;
    상기 처리 챔버 안으로 질소 함유 처리 가스 또는 가스 혼합물들을 주입하고 상기 처리 가스에 이온화 에너지를 인가함으로써, 상기 처리 챔버 내에서 질소 함유 플라즈마를 생성하는 단계;
    상기 질소 함유 플라즈마 내의 전자들의 평균 온도를 0.7 eV 미만으로 유지하기 위하여 상기 이온화 에너지를 펄싱하도록 구성된 이온화 소스로의 전력을 펄싱함으로써 상기 이온화 에너지를 펄싱하는 단계 ― 상기 플라즈마의 질소가 상기 기판 상의 게이트 유전체층에 통합됨 ―; 및
    상기 기판을 상기 처리 챔버로부터 제거하는 단계
    를 포함하는, 기판 처리 방법.
KR1020047018470A 2002-06-12 2003-06-12 기판을 처리하기 위한 플라즈마 방법 및 장치 KR101044366B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/170,925 2002-06-12
US10/170,925 US6660659B1 (en) 2002-06-12 2002-06-12 Plasma method and apparatus for processing a substrate
US39567702P 2002-07-12 2002-07-12
US60/395,677 2002-07-12
PCT/US2003/018784 WO2003107382A2 (en) 2002-06-12 2003-06-12 Plasma method and apparatus for processing a substrate

Publications (2)

Publication Number Publication Date
KR20050007397A KR20050007397A (ko) 2005-01-17
KR101044366B1 true KR101044366B1 (ko) 2011-06-29

Family

ID=29738974

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047018470A KR101044366B1 (ko) 2002-06-12 2003-06-12 기판을 처리하기 위한 플라즈마 방법 및 장치

Country Status (6)

Country Link
US (1) US6831021B2 (ko)
EP (1) EP1512165A2 (ko)
JP (1) JP2005530341A (ko)
KR (1) KR101044366B1 (ko)
CN (1) CN100533651C (ko)
WO (1) WO2003107382A2 (ko)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
JP2004095918A (ja) * 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及び半導体装置の製造方法
AU2003291319A1 (en) * 2002-11-08 2004-06-03 Aviza Technology, Inc. Nitridation of high-k dielectrics
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7464271B2 (en) * 2004-10-04 2008-12-09 Sony Corporation Systems and methods of providing content protection for digital video products
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7214628B2 (en) * 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
US7141514B2 (en) * 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
US7429538B2 (en) * 2005-06-27 2008-09-30 Applied Materials, Inc. Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US20070010103A1 (en) * 2005-07-11 2007-01-11 Applied Materials, Inc. Nitric oxide reoxidation for improved gate leakage reduction of sion gate dielectrics
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
US7964514B2 (en) 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP5590886B2 (ja) 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US7846793B2 (en) * 2007-10-03 2010-12-07 Applied Materials, Inc. Plasma surface treatment for SI and metal nanocrystal nucleation
US7871942B2 (en) 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
WO2009141982A1 (ja) * 2008-05-19 2009-11-26 株式会社 東芝 線状白色光源ならびにそれを用いたバックライトおよび液晶表示装置
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
KR101139824B1 (ko) * 2009-12-11 2012-04-30 최대규 대면적의 플라즈마를 발생시키는 플라즈마 반응기
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
CN103165431B (zh) * 2011-12-19 2016-08-31 中芯国际集成电路制造(上海)有限公司 栅介质层及mos晶体管的形成方法
SG11201505166XA (en) * 2013-02-28 2015-07-30 Univ Nanyang Tech A capacitively coupled electrodeless plasma apparatus and a method using capacitively coupled electrodeless plasma for processing a silicon substrate
US9177787B2 (en) * 2013-03-15 2015-11-03 Applied Materials, Inc. NH3 containing plasma nitridation of a layer of a three dimensional structure on a substrate
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10170322B1 (en) * 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10173187A (ja) * 1996-12-05 1998-06-26 Texas Instr Inc <Ti> 薄膜窒化珪素または酸化窒化珪素ゲート誘電体の形成方法
JP2000294550A (ja) * 1999-04-05 2000-10-20 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
KR100265866B1 (ko) * 1998-07-11 2000-12-01 황철주 반도체 제조장치
JP2001044419A (ja) * 1999-07-14 2001-02-16 Texas Instr Inc <Ti> 高k誘電体を有するゲート積層の形成方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5020411A (en) * 1989-03-06 1991-06-04 Larry Rowan Mobile assault logistic kinetmatic engagement device
US6136654A (en) * 1996-06-07 2000-10-24 Texas Instruments Incorporated Method of forming thin silicon nitride or silicon oxynitride gate dielectrics
US6110842A (en) * 1996-06-07 2000-08-29 Texas Instruments Incorporated Method of forming multiple gate oxide thicknesses using high density plasma nitridation
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JPH11102895A (ja) * 1997-09-29 1999-04-13 Fujitsu Ltd 半導体装置の製造方法
US6126778A (en) * 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6251761B1 (en) * 1998-11-24 2001-06-26 Texas Instruments Incorporated Process for polycrystalline silicon gates and high-K dielectric compatibility
US6447637B1 (en) * 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
US6541294B1 (en) * 1999-07-22 2003-04-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
TW480554B (en) * 1999-07-22 2002-03-21 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
US6566272B2 (en) * 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
EP1265279B1 (en) * 2000-03-13 2009-10-14 OHMI, Tadahiro Method of fabricating a flash memory device
DE10147998A1 (de) * 2001-09-28 2003-04-10 Unaxis Balzers Ag Verfahren und Vorrichtung zur Erzeugung eines Plasmas

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10173187A (ja) * 1996-12-05 1998-06-26 Texas Instr Inc <Ti> 薄膜窒化珪素または酸化窒化珪素ゲート誘電体の形成方法
KR100265866B1 (ko) * 1998-07-11 2000-12-01 황철주 반도체 제조장치
JP2000294550A (ja) * 1999-04-05 2000-10-20 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
JP2001044419A (ja) * 1999-07-14 2001-02-16 Texas Instr Inc <Ti> 高k誘電体を有するゲート積層の形成方法

Also Published As

Publication number Publication date
CN1659680A (zh) 2005-08-24
KR20050007397A (ko) 2005-01-17
CN100533651C (zh) 2009-08-26
EP1512165A2 (en) 2005-03-09
WO2003107382A3 (en) 2004-09-23
WO2003107382A2 (en) 2003-12-24
US6831021B2 (en) 2004-12-14
US20040038486A1 (en) 2004-02-26
JP2005530341A (ja) 2005-10-06

Similar Documents

Publication Publication Date Title
KR101044366B1 (ko) 기판을 처리하기 위한 플라즈마 방법 및 장치
US6660659B1 (en) Plasma method and apparatus for processing a substrate
US6806201B2 (en) Plasma processing apparatus and method using active matching
US6777037B2 (en) Plasma processing method and apparatus
KR101162775B1 (ko) Cvd층의 등각성, 응력 및 조성을 개별적으로 변화시키는저온 cvd 프로세스
KR101808380B1 (ko) 레지스트 마스크의 처리 방법 및 반도체 디바이스의 제조 방법
KR100214442B1 (ko) 플라즈마 에칭방법
EP0376546B1 (en) Processes depending on plasma generation
KR101811910B1 (ko) 질화규소막에 피처를 에칭하는 방법
WO2011108663A1 (ja) プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
WO2004051720A1 (ja) プラズマドーピング方法
KR102538040B1 (ko) 박막 처리 프로세스
US7000565B2 (en) Plasma surface treatment system and plasma surface treatment method
KR20010041386A (ko) 저압 유도적으로 결합된 고밀도 플라즈마 반응기
KR101977120B1 (ko) 실리콘 및 게르마늄을 함유하는 기판에 있어서 실리콘을 우선 산화하는 방법
JP4653395B2 (ja) プラズマ処理装置
KR100305134B1 (ko) 에칭방법
JP3563054B2 (ja) プラズマ処理装置および方法
JP3599670B2 (ja) プラズマ処理方法および装置
WO2004049423A1 (ja) 半導体装置の製造方法
KR20030078560A (ko) 플라즈마 식각 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 7