DE69819023T2 - Methode, eine leitende schicht zu ätzen - Google Patents

Methode, eine leitende schicht zu ätzen Download PDF

Info

Publication number
DE69819023T2
DE69819023T2 DE69819023T DE69819023T DE69819023T2 DE 69819023 T2 DE69819023 T2 DE 69819023T2 DE 69819023 T DE69819023 T DE 69819023T DE 69819023 T DE69819023 T DE 69819023T DE 69819023 T2 DE69819023 T2 DE 69819023T2
Authority
DE
Germany
Prior art keywords
etching
conductive
layer
etch
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69819023T
Other languages
English (en)
Other versions
DE69819023D1 (de
Inventor
David R. Arnett
V. Jeffrey MUSSER
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of DE69819023D1 publication Critical patent/DE69819023D1/de
Publication of DE69819023T2 publication Critical patent/DE69819023T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Description

  • Hintergrund der Erfindung
  • Die vorliegende Erfindung betrifft die Herstellung von Halbleitervorrichtungen. Insbesondere betrifft die vorliegende Erfindung Verfahren und Vorrichtungen zum Ätzen durch die leitende Schicht eines Schichtstapels während der Herstellung von Halbleitervorrichtungen.
  • Bei der Herstellung von Halbleitervorrichtungen, z. B. integrierten Halbleiterschaltungen (ICs) oder Flachbildschirmen, werden Vorrichtungen wie beispielsweise Komponententransistoren typischer Weise auf einem Substrat, z. B. einer Siliziumscheibe oder einer Glasplatte, geformt. Leitende Verbindungslinien, die zum Beispiel Metallleitungen sein können, die aus einer auf dem Substrat angeordneten Metallisierungsschicht geätzt sind, werden dann eingesetzt, um die Vorrichtungen miteinander zu koppeln, um die gewünschte Schaltung zu bilden. Die Metallisierungsschicht kann zum Beispiel Aluminium oder eine seiner Legierungen, welche Kupfer oder Silizium enthalten können, aufweisen.
  • R. S. Bennett et offenbart in „Process for Reactive Ion Etching of al. Polycide", IBM Technical Disclosure Bulletin, Vol. 24, Nr. 9, Februar 1982, New York, U.S.A., Seite 4486, ein Zweistufenverfahren zum selektiven Ätzen einer Polycidstruktur mittels reaktiven Ionenätzens, um die Leitungsbreite zu steuern, und Ätzprofil- und Plasmaätzens, um an dem darunter liegenden Oxid zu stoppen. Die EP-A-O 301 335 offenbart ein Verfahren des Trockenätzens, bei dem ein erstes Ätzen zum Ätzen großer Flächen bei einer ersten Temperatur durchgeführt wird und ein zweites Ätzen zum Ätzen kleiner Stellen bei einer niedrigeren Temperatur durchgeführt wird.
  • Für einige Schaltungen können mehrere leitende Schichten notwendig sein, um die Verbindungsanforderungen zu erfüllen. Diese leitenden Schichten sind typischer Weise voneinander durch eine Isolierschicht, z. B. eine Zwischenoxidschicht, getrennt. Wenn eine elektrische Verbindung zwischen zwei leitenden Merkmalen oder Linien in zwei unterschiedlichen leitenden Schichten gewünscht ist, kann ein leitender Stopfen eingesetzt werden, um einen elektrischen Pfad zwischen den zwei leitenden Merkmalen durch die dielektrische Zwischenisolierschicht vorzusehen.
  • Um die Diskussion zu vereinfachen, veranschaulicht 1 eine Querschnittsansicht eines Schichtstapels 10, der die Schichten darstellt, die während der Herstellung einer typischen Halbleitervorrichtung gebildet werden können. In 1 sowie in den anderen Figuren sollte angemerkt werden, dass die darin gezeigten Schichten nur beispielhaft sind; weitere zusätzliche Schichten darüber, darunter oder zwischen den gezeigten Schichten können vorhanden sein. Ferner müssen nicht alle der gezeigten Schichten vorhanden sein, und unter Verwendung des Fachwissens können manche oder alle durch andere unterschiedliche Schichten ersetzt werden.
  • Unten am Schichtstapel 10 ist ein Substrat 100 dargestellt, das zum Beispiel eine Siliziumscheibe oder eine Glasplatte darstellt. Eine erste leitende Schicht 102 ist auf dem Substrat 100 gebildet. Nachdem die leitende Schicht 102 wie gewünscht gemustert ist (zum Beispiel mittels eines herkömmlichen Photolackverfahrens) kann dann eine Isolierschicht aus zum Beispiel SiO2 auf der leitenden Schicht 102 gebildet werden.
  • Eine Durchkontaktierung 112 wird in der Isolierschicht 104 mittels zum Beispiel eines herkömmlichen Ätzverfahrens gebildet. In der Durchkontaktierung 112 wird ein leitender Stopfen 114 gebildet, um ausgewählte leitende Merkmale in der leitenden Schicht 102 zu ermöglichen, und anschließend wird eine leitende Schicht 106 abgeschieden, um eine elektrische Verbindung herzustellen. Bekanntermaßen kann der leitende Stopfen 114 Wolfram oder eine seiner Legierungen aufweisen. Wenn Wolfram als leitendes Stopfenmaterial eingesetzt wird, wird häufig eine Haftschicht 116 (in der Form eines Kreisrings um das Innere der Durchkontaktierung 112 in dem Beispiel von 1) eingesetzt, um den leitenden Stopfen 114 während des Herstellungsprozesses und während des Gebrauchs sicherer an den Wänden der Durchkontaktierung 112 in der Isolierschicht 104 anhaften zu lassen.
  • Eine leitende Schicht 106 kann dann auf der Isolierschicht 104 gebildet werden. Die leitende Schicht 106 kann eine Sperrschicht 108, typischer Weise aus Ti, TiW, TiN oder einem anderen geeignetem Sperrmaterial, enthalten. Die Sperrschicht 108, die optional ist, kann zwischen – die Isolierschicht 104 und eine anschließend abgeschiedene Verbindungsschicht 110 angeordnet werden. Die Sperrschicht 108, falls vorgesehen, funktioniert, um die Diffusion von Siliziumatomen aus der Isolierschicht 104 in die Verbindungsschicht 110 zu verhindern. Analog kann ebenso eine andere Sperrschicht zwischen der leitenden Schicht 102 und der Isolierschicht 104 vorgesehen sein. Die Isolierschicht 110 weist typischer Weise Kupfer, Aluminium oder eine der bekannten Aluminiumlegierungen wie beispielsweise Al-Cu, Al-Si oder Al-Cu-Si auf.
  • Die Haftschicht 116 in der Durchkontaktierung 112 kann das gleiche oder ein ähnliches Material wie das in der Sperrschicht 108 eingesetzte, z. B. Ti, TiW, TiN, oder andere ähnlich geeignete Haftmaterialien aufweisen. Die Schichten und Merkmale des Schichtstapels 10 sind für den Fachmann einfach erkennbar und können mittels beliebiger geeigneter und bekannter Abscheidungs- und Ätzprozesse geformt werden, einschließlich chemische Gasphasenabscheidung (CVD), plasmaunterstützte chemische Gasphasenabscheidung (PECVD), physikalische Gasphasenabscheidung (PVD) wie beispielsweise Sputtern, Nassätzen und/oder plasmaunterstütztes Ätzen.
  • Um das gewünschte Muster von Linien in der leitenden Schicht 106 zu ätzen, wird dann auf die leitende Deckschicht 106 eine darüber liegende Photolackschicht (PR) 118 gebildet. Die Photolackschicht 118 kann dann (z. B. durch eine herkömmliche Photolacktechnik) gemustert werden, um das Ätzen der darunter liegenden leitenden Schicht 106 zu vereinfachen. Beispielsweise enthält eine solche Photolacktechnik das Mustern der Photolackschicht 118 durch Belichten des Photolackmaterials in einem Kontakt- oder Schrittlithographiesystem und das Entwickeln des Photolackmaterials, um eine Maske zu bilden, um das anschließende Ätzen zu vereinfachen. Mittels eines geeigneten Ätzmittels werden dann die Bereiche der leitenden Schicht, die durch die Maske ungeschützt sind, weggeätzt, wobei leitende Verbindungslinien oder -merkmale zurück gelassen werden.
  • Um eine größere Schaltungsdichte zu erzielen, werden moderne Halbleitervorrichtungen mit stetig engeren Designregeln skaliert. Als Ergebnis wurden die Merkmalsgrößen, d. h. die Breite der leitenden Verbindungsmerkmale oder die Abstände (z. B. Gräben) zwischen benachbarten leitenden Merkmalen, stetig verringert. Während beispielsweise eine Linienbreite von etwa 0,8 μm in einem 4-MB-DRAM-IC, als annehmbar angesehen wird, verwenden 256-MB-DRAM-ICs vorzugsweise Verbindungslinien so dünn wie 0,25 μm oder sogar dünner.
  • Da die Merkmalsgrößen schrumpfen, wird es immer schwieriger, die geätzten leitenden Merkmale in der darüber liegenden leitenden Schicht, z. B. die leitende Schicht 106, mit der Durchkontaktierung 112 auszurichten, um eine zufrieden stellende elektrische Verbindung sicherzustellen. Beispielsweise kann eine Toleranz in dem Herstellungsverfahren bewirken, dass die darüber liegende leitende Linie, welche aus der leitenden Schicht 106 geätzt wird, bezüglich der Durchkontaktierung 112 etwas versetzt ist, wodurch ein Teil der Haftschicht 116 dem Ätzprozess ausgesetzt wird, der zum Ätzen der gewünschten leitenden Linien aus der leitenden Schicht 106 verwendet wird.
  • Um das obige zu veranschaulichen, zeigt 2 den Schichtstapel 10 von 1, nachdem die leitende Schicht 106 gemäß einem herkömmlichen Ätzverfahren geätzt ist. In dem Beispiel von 2 kann das Merkmal 202 zum Beispiel eine leitende Linie, die aus der leitenden Schicht 106 geätzt ist und in der Richtung senkrecht zu der Seite, d. h. in die Seite hinein angeordnet ist, darstellen. Das Merkmal 206 kann zum Beispiel eine leitende Linie, die aus der leitenden Schicht 202 geätzt ist und in einer Richtung senkrecht zu dem Merkmal 202, d. h. von links nach rechts in der Figur angeordnet ist, darstellen.
  • In 2 ist das leitende Merkmal 202 (zum Beispiel aufgrund der Toleranz in dem Herstellungsverfahren) relativ zu der Mitte der Durchkontaktierung 112 waagrecht verschoben, wodurch der linke Teil der Haftschicht 116 dem Ätzprozess ausgesetzt ist, der eingesetzt wird, um durch die leitende Schicht 106 zu ätzen, um das leitende Merkmal 202 zu bilden. Da die Haftschicht 116, wie erwähnt, typischer Weise ein ähnliches Material wie das in der Sperrschicht 108 verwendete aufweist, kann es ähnlich reaktiv mit dem zum Ätzen durch die leitende Schicht 106 eingesetzten Ätzmittel sein. Demgemäß kann ein Teil der Haftschicht 116 unbeabsichtigter Weise geätzt werden, was zu einer reduzierten Haftung zwischen dem Stopfen 114 und den Wänden der Durchkontaktierung 112 in der Isolierschicht 104 führt.
  • In manchen Fällen kann die übermäßige Aussetzung eines Teils der Haftschicht 116 zu dem Ätzprozess der leitenden Schicht, z. B. in dem Überätzschritt der leitenden Schicht, etwas des Ätzmaterials entlang der beschädigten Haftschicht in die darunter liegende leitende Schicht einsickern lassen. Als Folge kann ein Teil der darunter liegenden leitenden Schicht 206 unbeabsichtigter Weise dem Ätzmittel der leitenden Schicht ausgesetzt werden und unbeabsichtigter Weise weggeätzt werden. Der beschädigte Teil des leitenden Merkmals 206 in der darunter liegenden Schicht 102 ist in dem Beispiel von 2 symbolisch als beschädigter Abschnitt 204 dargestellt. Falls eine ausreichende Menge des leitenden Materials in dem darunter liegenden leitenden Merkmal 206 beschädigt wird, kann ein unbeabsichtigter offener Kreis resultieren, entweder bevor oder nachdem ein Strom angelegt wird. Für den Fachmann erkennbar ist dieses unbeabsichtigte Ätzen der Haftschicht 116 und der Merkmale der darunter liegenden leitenden Schicht 102 unerwünscht, da es die Zuverlässigkeit der Schaltung und den Ertrag reduzieren kann.
  • In Anbetracht der obigen Ausführungen sind verbesserte Techniken zum Minimieren unerwünschten Schadens an den darunter liegenden leitenden Merkmalen und/oder an der Haftschicht in der Durchkontaktierung während des Ätzens der darüber liegenden leitenden Merkmale in der darüber liegenden leitenden Schicht erwünscht.
  • Zusammenfassung der Erfindung
  • Die Erfindung betrifft in einem Ausführungsbeispiel ein Verfahren in einer Substratbearbeitungskammer zum Bilden eines leitenden Merkmals durch Ätzen durch eine einzelne leitende Schicht aus einem einzigen Material, die auf einem Halbleitersubstrat angeordnet ist. Das Verfahren enthält das Ätzen teilweise durch die leitende Schicht mittels einer ersten Ätzrezeptur, um einen oberen Teil des leitenden Merkmals zu bilden. Das Verfahren enthält ferner anschließend das Ätzen durch eine verbleibende Dicke der leitenden Schicht mittels einer zweiten Ätzrezeptur unterschiedlich von der ersten Ätzrezeptur, um einen unteren Abschnitt des leitenden Merkmals zu bilden. Der untere Abschnitt ist unter dem oberen Abschnitt angeordnet. Die zweite Ätzrezeptur ist so ausgebildet, dass sie einen schrägen Ätzfuß in dem unteren Abschnitt des leitenden Merkmals erzielt, wobei der Fuß im Querschnitt breiter als der geätzte obere Abschnitt der leitenden Schicht ist.
  • In einem weiteren Ausführungsbeispiel betrifft die Erfindung eine integrierte Schaltung mit Komponenten, die auf einem Halbleitersubstrat gebildet sind. Die integrierte Schaltung enthält ein erstes leitendes Merkmal, das aus einer ersten leitenden Schicht geätzt ist, welche auf dem Halbleitersubstrat angeordnet ist. Die integrierte Schaltung enthält ferner eine Isolierschicht, die auf dem ersten leitenden Merkmal angeordnet ist. Die Isolierschicht hat darin eine Durchkontaktierung. Die integrierte Schaltung enthält ferner einen in der Durchkontaktierung angeordneten leitenden Stopfen. Der leitende Stopfen ist mit dem ersten leitenden Merkmal elektrisch gekoppelt. Es ist auch ein zweites leitendes Merkmal enthaltend, das auf der Durchkontaktierung angeordnet ist und wenigstens so breit wie die Durchkontaktierung ist. Das leitende Merkmal ist aus einer zweiten leitenden Schicht geätzt, die auf der Isolierschicht angeordnet ist. Das leitende Merkmal ist mit dem leitenden Stopfen elektrisch verbunden, der in der Durchkontaktierung angeordnet ist, und besteht aus einem oberen Abschnitt mit einem ersten Ätzprofil und einer ersten Breite und einem unteren Abschnitt unter dem oberen Abschnitt. Der untere Abschnitt hat ein zweites Ätzprofil unterschiedlich von dem ersten Ätzprofil und einen schrägen Ätzfuß, der im Querschnitt breiter als der geätzte obere Abschnitt der leitenden Schicht ist.
  • Diese und weitere Vorteile der vorliegenden Erfindung werden beim Lesen der folgenden detaillierten Beschreibung und dem Studium der verschiedenen Zeichnungen offensichtlich.
  • Kurzbeschreibung der Zeichnungen
  • 1 zeigt eine Querschnittsansicht eines Schichtstapels, der die Schichten darstellt, die während der Herstellung einer typischen Halbleitervorrichtung gebildet werden können.
  • 2 veranschaulicht den Schichtstapel von 1, nachdem die leitende Schicht gemäß einem herkömmlichen Ätzprozess geätzt ist.
  • 3 zeigt eine vereinfachte Schemadarstellung des Plasmareaktors TCPTM 9600 SE, der einen für die erfindungsgemäße Ätztechnik geeigneten Ätzreaktor darstellt.
  • 4 zeigt ein leitendes Merkmal, das einen schrägen Ätzfuß enthält, der gemäß einem Ausführungsbeispiel der erfindungsgemäßen Ätztechnik gebildet ist.
  • 5 zeigt gemäß einem Ausführungsbeispiel der vorliegenden Erfindung die erfindungsgemäße Ätztechnik zum Bilden des schrägen Ätzfußes.
  • 6 zeigt ein Ausführungsbeispiel der Erfindung, bei dem der Abschnitt der leitenden Schicht, der nach dem Hauptätzschritt übrig bleibt, in zwei separaten Ätzschritten geätzt wird.
  • 7 zeigt ein Ausführungsbeispiel der Erfindung, bei dem der Abschnitt der leitenden Schicht, die nach dem Ätzschritt übrigbleibt, in drei separaten Ätzschritten geätzt wird.
  • Detaillierte Beschreibung der bevorzugten Ausführungsbeispiele
  • Die vorliegende Erfindung wird nun in Detail unter Bezugnahme auf einige bevorzugte Ausführungsbeispiele davon, wie sie in den beiliegenden Zeichnungen veranschaulicht sind, beschrieben. In der folgenden Beschreibung werden zahlreiche spezielle Einzelheiten erläutert, um ein komplettes Verständnis der vorliegenden Erfindung vorzusehen. Es ist für den Fachmann jedoch offensichtlich, dass die vorliegende Erfindung auch ohne einige oder alle dieser spezifischen Einzelheiten in die Praxis umgesetzt werden kann. In anderen Fällen wurden wohlbekannte Prozessschritte nicht im Detail beschrieben, um die vorliegende Erfindung nicht unnötiger Weise zu verdunkeln.
  • Gemäß der vorliegenden Erfindung wird das oben genannte Ertragsverringerungsproblem durch Ätzen der leitenden Schicht in zwei Schritten gelindert. In dem ersten Ätzschritt der leitenden Schicht wird die leitende Schicht vorzugsweise mit einer Hauptätzrezeptur geätzt, um eine hohe Ätzrate (wodurch ein hoher Durchsatz an Wafern erzielt wird) und/oder im wesentlichen anisotrope Ätzeffekte erzielt werden. In dem zweiten Ätzschritt der leitenden Schicht wird die übrige Dicke der leitenden Schicht mit einer Ätzrezeptur geätzt, die ausgebildet ist, um in dem resultierenden leitenden Merkmal einen schrägen Ätzfuß zu bilden.
  • Der schräge Ätzfuß, der in seinem Querschnitt größer als der mehr anisotrop geätzte Abschnitt der leitenden Schicht (gebildet während des Hauptätzschritts der leitenden Schicht, d. h. des ersten Ätzschritts) ist, kann die verwundbare Haftschicht in der Durchkontaktierung effektiver überlappen, falls das geätzte leitende Merkmal bezüglich der Durchkontaktierung zum Beispiel aufgrund einer Toleranz in dem Herstellungsprozess versetzt ist. Auf diese Weise vereinfacht die Erfindung vorteilhafter Weise einen erhöhten Schutz der darunter liegenden Durchkontaktierungs-Haftschicht und/oder der Merkmale in der darunter liegenden leitenden Schicht, ohne gleichzeitig eine Vergrößerung der Designregeln zu erfordern, d. h. ein Ätzen dickerer leitender Merkmale während des Hauptätzschritts durch Spezifizieren breiterer Photolackmaskierungsmerkmale zu erfordern.
  • Die erfindungsgemäße Ätztechnik der leitenden Schicht kann in irgendeiner bekannten Plasmabearbeitungsvorrichtung durchgeführt werden, einschließlich jenen, die zum Trockenätzen, Plasmaätzen, reaktiven Ionenätzen (PIE), magnetisch verstärktem reaktiven Ionenätzen (MERIE), Elektronenzyklotronresonanz (ECR) oder dergleichen. Ausführlicher gesagt, wird in einer zum Trockenätzen ausgebildeten Plasmabearbeitungskammer der Wafer mit Plasma behandelt. Die Kammer enthält eine Einlassöffnung, durch welche Prozessätzmittel-Quellgase in das Kammerinnere zugeführt werden. Eine geeignete RF-Energiequelle wird an zu der Kammer gehörende Elektroden angelegt, um aus den Ätzmittelquellgasen ein Plasma zu induzieren. Die Energie selbst kann bekanntermaßen induktiv oder kapazitiv eingekoppelte werden, um das Plasma zu erhalten. Aus dem Ätzmittelquellgas werden dann Spezies gebildet, um mit dem Schichtstapel zu reagieren und an den Plasmakontaktbereichen des Waferschichtstapels wegzuätzen. Die Nebenprodukte, die flüchtig sein können, werden dann durch eine Auslassöffnung abgesaugt.
  • Das Plasmaätzen betrifft die Situation, in welcher der Wafer während der Waferbearbeitung an der Annode oder der Massenelektrode positioniert ist. Andererseits betrifft das reaktive Ionenätzen (RIE) die Situation, in welcher der Wafer während der Bearbeitung an der Kathode oder der Leistungselektrode positioniert ist. Das magnetisch verstärkte reaktive Ionenätzen (MERIE) stellt eine Variante der RIE-Reaktorgeometrie dar, bei der ein Magnetfeld angelegt wird, um den Verlust energetischer Elektronen an den Reaktorwandflächen zu vermindern. Es wurde festgestellt, dass die MERIE-Reaktoren unter gewissen Bedingungen die Effizienz der Energieübertragung von Elektroden auf die Elektronen in dem Plasma verbessern können.
  • Man nimmt an, dass die Erfindung in irgendeinem der obigen Reaktoren sowie in anderen geeigneten Plasmabearbeitungsreaktoren praktiziert werden kann. Das obige gilt unabhängig davon, ob die Energie dem Plasma durch kapazitiv gekoppelte parallele Elektrodenplatten, durch ECR-Mikrowellenplasmaquellen oder durch induktiv gekoppelte Resonanzfrequenz-Quellen wie beispielsweise mit Spiralresonatoren oder Transformatoren gekoppeltes Plasma (ob eben oder nicht) übertragen wird. Unter anderem ECR und TCPTM (mit Transformator gekoppeltes Plasma) – Bearbeitungssysteme sind im Handel einfach erhältlich. TCP-Systeme sind zum Beispiel von Lam Research Corporation aus Fremont, Kalifornien, erhältlich.
  • In einem bevorzugten Ausführungsbeispiel wird die vorliegende Erfindung in einem Plamareaktor TCPTM 9600 SE eingesetzt, der von Lam Research Corporation erhältlich ist, obwohl, wie oben erwähnt, beliebige herkömmliche und geeignete Plasmabearbeitungssysteme eingesetzt werden können. 3 zeigt eine vereinfachte Schemadarstellung des Plasmareaktors TCPTM 9600 SE, mit einem Substrat 350 und beispielhaften integrierten Schaltungschips 352, die aus Würfeln gefertigt sind, die aus dem Substrat 350 geschnitten werden, nachdem das Substrat gemäß dem erfindungsgemäßen Ätzen geätzt ist und in herkömmlichen Nachätzschritten bearbeitet ist. Es sollte bedacht werden, dass, obwohl der Einfachheit halber hier als Beispiel IC-Wafer eingesetzt werden, das erfindungsgemäße Ätzverfahren auf das Ätzen irgendeines Substrats, z. B. eines Flachbildschirm, angewendet werden kann.
  • Bezug nehmend auf 3 enthält eine Reaktor 300 eine Plasmabearbeitungskammer 302. Über der Kammer 302 ist eine Elektrode 303 angeordnet, die in dem Beispiel von 3 durch eine Spule verwirklicht ist. Die Spule 303 wird über ein Anpassungsnetzwerk (nicht dargestellt in 3) durch einen RF-Generator 305 angeregt.
  • In der Kammer 302 ist ein Duschkopf 304 vorgesehen, der vorzugsweise eine Vielzahl von Löchern zum Freigeben von gasförmigen Quellmaterialien, z. B. die Ätzmittelquellgase, in den RF-induzierten Plasmabereich zwischen dem Duschkopf 304 und dem Substrat 350 enthält. Die gasförmigen Quellmaterialien können auch aus Öffnungen, die in die Wände der Kammer selbst eingebaut sind, oder aus einem Gasring (der kreisringförmig sein kann), in der Kammer freigesetzt werden. Das Substrat 350 wird in die Kammer 302 eingeleitet und auf ein Futter 310 gesetzt, das als zweite Elektrode dient und vorzugsweise durch einen RF-Generator 320 (typischer Weise ebenfalls über ein Anpassungsnetzwerk) vorgespannt ist.
  • Heliumkühlgas wird unter Druck zwischen das Futter 310 und das Substrat 350 eingeleitet, um als Wärmeübertragungsmedium zum exakten Steuern der Substrattemperatur während der Bearbeitung zu wirken, um gleichmäßige und wiederholbare Ätzergebnisse sicher zu stellen. Während des Plasmaätzens kann der Druck in der Kammer 302 durch das Absaugen von Gas durch eine Öffnung 360 reguliert werden. Mehrere Heizelemente (in 3 zur Vereinfachung der Darstellung weggelassen) können vorgesehen sein, um eine geeignete Kammertemperatur zum Ätzen beizubehalten. Um einen elektrischen Weg zur Masse vorzusehen, ist die Kammerwand der Kammer 302 typischer Weise geerdet.
  • Wie oben erwähnt, wird eine Beschädigung der Haftschicht zwischen dem leitenden Stopfen und den Wänden der Durchkontaktierung in der Oxidschicht (was zu einer Beschädigung von Merkmalen in der darunter liegenden Metallschicht wie beispielsweise dem Merkmal 206 von 2 führen kann) gemäß einem Aspekt der vorliegenden Erfindung vorteilhafter Weise dadurch verringert, dass in das geätzte leitende Merkmal absichtlich ein schräger Ätzfuß induziert wird. Der schräge Ätzfuß des geätzten leitenden Merkmals, dessen größere Aufstandsfläche an dem Punkt ist, wo sich die leitende Schicht mit der Isolierschicht schneidet, erhöht vorteilhafter Weise die Wahrscheinlichkeit, dass das geätzte leitende Merkmal die Durchkontaktierung in der Isolierschicht vollständiger überlappt, selbst wenn ein durch Toleranzen bewirkter Versatz zwischen dem geätzten leitenden Merkmal und der darunter liegenden Durchkontaktierung auftritt. Demgemäß wird die Wahrscheinlichkeit, dass ein Bereich des Kreisrings der Haftschicht in der Durchkontaktierung dem Ätzprozess ausgesetzt wird, der zum Ätzen der darüber liegenden leitenden Schicht verwendet wird, vorteilhafter Weise minimiert, wodurch gleichzeitig die Wahrscheinlichkeit einer Beschädigung der Haftschicht und/oder der darunter liegenden leitenden Schicht minimiert wird.
  • Die erfindungsgemäße Ätztechnik der leitenden Schicht reduziert in einem Ausführungsbeispiel die Möglichkeit der Beschädigung der Haftschicht und/oder des darunter liegenden leitenden Merkmals, indem nach einem Hauptätzschritt der nicht selbstverständliche Ätzschritt durchgeführt wird, der absichtlich den schrägen Ätzfuß des leitenden Merkmals erzeugt. Dieses beabsichtigte Einführen eines schrägen Ätzfußes ist aktuellen Anstrengungen in Ätzkonstruktionen gegensätzlich, die versuchen, ein anisotoperes Ätzen durch das Ätzmerkmal, d. h. eine im wesentlichen senkrechte Seitenwand vom oberen Ende des Ätzmerkmals zum Boden des geätzten Merkmals zu erzielen.
  • Wie oben erwähnt, verbessert der schräge Ätzfuß, der in modernen Ätzprozessen, die sich um senkrechte Ätzseitenwände bemühen, typischer Weise unerwünscht ist, in diesem Fall vorteilhafter Weise den Schutz der Haftschicht, ohne dass der obere Teil des leitenden Merkmals vergrößert werden muss. Da das Maß der oberen Abschnitte der leitenden Merkmale unverändert bleibt, können die Photolackmerkmale, die zum Vereinfachen des Ätzens der leitenden Merkmale aus der darüber liegenden leitenden Schicht gemustert sind, nach wie vor mittels existierender Photolackmusterwegzeuge gemustert werden. Vorteilhafter Weise sind keine Veränderungen an den Photolackmusterwerkzeugen und/oder den Photolackmustern notwendig, um den durch die Erfindung ermöglichten verbesserten Ertrag zu erzielen.
  • Um die Erläuterung der Merkmale und Vorteile der Erfindung zu vereinfachen, zeigt 4 gemäß einem Ausführungsbeispiel der vorliegenden Erfindung ein leitendes Merkmal 402, welches einen schrägen Ätzfuß enthält, der gemäß der erfindungsgemäßen Ätztechnik gebildet ist. In 4 sind ein Substrat 100, eine darunter liegende leitende Schicht 102, eine Isolierschicht 104, eine Sperrschicht 108, ein Stopfen 114 und eine Haftschicht 116 im wesentlichen analog zu den Strukturen mit den gleichen Bezugsziffern in 1 und 2. Ein leitendes Merkmal 402, das nach wie vor mit dem gemusterten Photolackabschnitt darauf dargestellt ist, enthält schräge Fußabschnitte 404(a) und 404(b).
  • Wie in 4 dargestellt, besitzt der obere Abschnitt 406 des leitenden Merkmals 402 eine Breite d1, welche durch die Breite des gemusterten Photolackabschnitts 118 bestimmt wird. Vorteilhafter Weise kann die Photolackmaske, deren Merkmale Breiten d1 haben, mittels existierender/herkömmlicher Photolackmusterwerkzeuge und/oder Photolackkonstruktionsregeln gebildet werden. Zum Beispiel kann die Breite d1 (was nicht unbedingt notwendig ist) enger als der Durchmesser der Durchkontaktierung sein, über welcher das leitende Merkmal 402 angeordnet ist. Der obere Abschnitt 406 stellt den Abschnitt der leitenden Schicht dar, der vorzugsweise mit einem Ätzprozess, welcher für eine schnelle Ätzrate und/oder im wesentlichen senkrechte Seitenwände hauptgeätzt ist.
  • Der untere Abschnitt 408 des leitenden Merkmals 402, der typischer Weise wenigstens einen Teil einer Sperrschicht 108 enthält, hat eine Breite d2, die vorteilhafter Weise breiter als die Breite d1 ist, um einen größeren Schutz für die Haftschicht 116 und/oder die Merkmale in der darunter liegenden leitenden Schicht 102 vorzusehen. Der untere Abschnitt 408 hat vorzugsweise ein anderes Ätzprofil als das Ätzprofil des oberen Abschnitts. Zum Beispiel kann der obere Abschnitt in einem Ausführungsbeispiel ein im wesentlichen anisotropes Ätzprofil haben, während der zweite Ätzabschnitt schräger sein, d. h. eine größere Aufstandsfläche haben kann.
  • In einem Ausführungsbeispiel ist die Breite d2 vorzugsweise größer als der Durchmesser der Durchkontaktierung, über welcher das leitende Merkmal 402 angeordnet ist. Die Breite d2 sollte jedoch nicht so groß sein, dass benachbarte Merkmale unerwünscht nahe zusammen kommen. Die exakten Maße der Breite d1 und d2 können offensichtlich von Vorrichtung zu Vorrichtung und von Verfahren zu Verfahren variieren. Wie dargestellt, wird der Schutz der Haftschicht 115 durch den unteren Abschnitt 408 (mit seinen schrägen Fußabschnitten 404(a) und 404(b)) effektiver vorgesehen, selbst wenn das leitende Merkmal 402 z. B. aufgrund Toleranzen in dem Muster- und/oder Herstellungsprozess relativ zu der Durchkontaktierung in der Isolierschicht 104 versetzt ist.
  • Wie oben erwähnt, kann der untere Teil 408 des leitenden Merkmals 402 wenigstens einen Teil einer Sperrschicht 108 enthalten. Falls die leitende Schicht keine Sperrschicht erfordert, kann der untere Teil 408 natürlich einfach einen Teil der gesamten leitenden Schicht darstellen. Falls die Sperrschicht 108 vorgesehen ist, kann der Hauptätzschritt, der den oberen Abschnitt 406 bildet, in einem Ausführungsbeispiel enden, bevor die Schnittstelle zwischen der Verbindungsschicht 110 und der Sperrschicht 108 erreicht ist. Mit anderen Worten kann der untere Abschnitt 408 wenigstens einen Teil der Verbindungsschicht 110 und die gesamte Sperrschicht 108 enthalten. In einem anderen Ausführungsbeispiel außerhalb der beanspruchten Erfindung enthält der untere Teil 408 nur die Sperrschicht 108, d. h. der Hauptätzschritt, der den oberen Abschnitt 406 bildet, kann an der Schnittstelle zwischen der Verbindungsschicht 110 und der Sperrschicht 108 enden. In einem noch weiteren Ausführungsbeispiel kann der untere Abschnitt 408 nur einen Teil der Sperrschicht 108 enthalten, d. h. der Hauptätzschritt, der den oberen Abschnitt 406 bildet, kann über die Schnittstelle zwischen der Verbindungsschicht 110 und der Sperrschicht 108 hinaus in wenigstens einen Teil der Sperrschicht hinein fortschreiten. Selbstverständlich hängt die exakte Tiefe des Hauptätzens (und gleichzeitig die exakte Dicke des unteren Abschnitts 408) von der gewünschten Breite d2 und der Neigung des schrägen Abschnitts 402(a) und/oder 402(b) ab.
  • In einem Ausführungsbeispiel kann der Ätzschritt, der den unteren Abschnitt 408 bildet (d. h. der nach dem Hauptätzschritt durchgeführte Ätzschritt) in einem einzigen Schritt durchgeführt werden. In einem weiteren Ausführungsbeispiel kann der Ätzschritt, der den unteren Abschnitt 408 bildet, mehrere Schritte enthalten. Zum Beispiel kann ein Ätzschritt verwendet werden, um durch die verbleibende Verbindungsschicht 110 zu ätzen, und ein weiterer Ätzschritt kann verwendet werden, um sowohl durch die Sperrschicht 108 zu ätzen als auch den Überätzschritt durch die Sperrschicht durchzuführen. Das Überätzen ist ein dem Fachmann bekanntes Konzept und bezieht sich auf das Ätzen, welches durchgeführt wird, um zu gewährleisten, dass Spuren von leitenden und/oder Sperrmaterialien in Bereichen, in denen sie nicht gewünscht sind, d. h. wo kein schützender Photolack ist, im wesentlichen entfernt werden. Als weiteres Beispiel kann ein Ätzschritt verwendet werden, um durch die verbleibende Verbindungsschicht 110 zu ätzen, ein weiterer Ätzschritt kann verwendet werden, um durch die Sperrschicht 108 zu ätzen, und ein noch weiterer Ätzschritt kann verwendet werden, um den Überätzschritt durch die Sperrschicht durchzuführen.
  • Gemäß einem Aspekt der vorliegenden Erfindung wird der schräge Ätzfuß des leitenden Merkmals 402 durch Einsetzen einer Rezeptur ähnlich der Hauptätzrezeptur gebildet, außer dass eines oder mehrere ihrer Parameter geändert sind, um den schrägen Ätzfuß einzuführen. Zum Beispiel kann die Ätzrate der Hauptätzrezeptur verringert werden, um die Bildung des Ätzfußes zu vereinfachen. Ohne an die Theorie gebunden sein zu wollen, wird angenommen, dass das Ätzen der leitenden Schicht die schützenden Photolackmerkmale, z. B. das Photolackmerkmal 118 von 4, mit der Zeit abträgt. Ein Teil des abgetragenen Photolackmaterials polymerisiert entlang der Seitenwände des geformten leitenden Merkmals, z. B. des leitenden Merkmals 402, während des Ätzens. Falls die senkrechte Ätzrate durch das leitende Merkmal 402 gesenkt wird, hat das Polymer mehr Zeit, sich z. B. an der Ecke, wo sich die Seitenwand des dem Ätzen unterzogenen leitenden Merkmals und die Oberfläche der Teilweise geätzten leitenden Schicht schneiden, aufzubauen. Der Anstieg der lateralen Polymerablagerung erhöht den Schutz der leitenden Schicht in diesem Bereich, wodurch bewirkt wird, dass die leitende Schicht mit fortschreitendem Ätzen weniger anisotrop geätzt wird, d. h. wodurch mit fortschreitendem Ätzen durch die leitende Schicht nach unten ein leitender Ätzfuß gebildet wird.
  • Als alternativer oder zusätzlicher Mechanismus kann die Temperatur der Elektrode, auf welcher das Substrat angeordnet ist, verringert werden. Wieder wird, ohne an die Theorie gebunden sein zu wollen, angenommen, dass das Verringern der Elektrodentemperatur (und damit der Temperatur des dem Ätzen unterzogenen Substrats) die Rate, mit welcher Polymerteilchen an dem Substrat kondensieren oder abscheiden, größer wird. Ein Anstieg der Polymerkondensation erhöht die Polymerablagerung, wodurch die Bildung des Ätzfußes in einer Weise ähnlich der oben in Zusammenhang mit dem Verringern der Ätzrate beschriebenen Weise vereinfacht wird. Die Temperatur des Substrats kann zum Beispiel in einem Ausführungsbeispiel durch Erhöhen des rückwärtigen Heliumkühldrucks verringert werden, wodurch die Wärmeübertragungsrate zwischen dem der Bearbeitung unterliegenden Substrat und dem Futter erhöht wird.
  • Als noch weiterer alternativer oder zusätzlicher Mechanismus kann die DC-Vorspannung der Elektrode, auf welcher das Substrat angeordnet ist, erhöht werden, um die Bildung eines Ätzfußes zu vereinfachen. Das Erhöhen der Vorspannung der unteren Elektrode hat den Effekt des Erhöhens des Sputterkomponente des Ätzens, was die Rate der Photolackerosion erhöht und die Rate der Polymerablagerung erhöht.
  • 5 veranschaulicht gemäß einem Ausführungsbeispiel der vorliegenden Erfindung die erfindungsgemäße Ätztechnik zum Bilden des schrägen Ätzfußes. In Schritt 502 wird wenigstens ein Teil der darüber liegenden leitenden Schicht, z. B. der leitenden Schicht 106 von 1, mit einer Hauptätzrezeptur geätzt. Wie oben erwähnt, ist die Hauptätzrezeptur bevorzugt so ausgebildet, um die Ätzrate durch die leitende Schicht zu maximieren, um den Substratdurchsatz zu erhöhen. Zusätzlich oder alternativ kann der Hauptätzschritt in manchen Fällen ausgebildet sein, um im wesentlichen anisotrope Ätzmerkmale, d. h. im wesentlichen senkrechte Ätzseitenwände zu bilden. In Schritt 504 wird die übrige leitende Schicht mit einer Rezeptur geätzt, die ausgebildet ist, um den schrägen Ätzfuß einzuführen. In einem Beispiel endet Schritt 502, bevor die Verbindungsschicht, z. B. die Verbindungsschicht 110 von 1, durchgeätzt ist. In einem anderen Beispiel außerhalb der beanspruchten Erfindung kann der Schritt 502 verwendet werden, um im wesentlichen durch die Verbindungsschicht, z. B. die Verbindungsschicht 110 von 1, zu ätzen, und Schritt 504 kann zum Ätzen durch die Sperrschicht, z. B. die Sperrschicht 108 von 1, und als ein Überätzschritt verwendet werden.
  • In einem Ausführungsbeispiel ist die in Schritt 504 eingesetzte Rezeptur im wesentlichen ähnlich der in Schritt 502 eingesetzten Rezeptur, außer dass eine oder mehrere der Hauptätzparameter geändert sind. Wie oben erwähnt, kann der schräge Ätzfuß gebildet werden, wenn die Ätzrate durch die leitende Schicht verringert wird, die Temperatur der Elektrode, auf welcher das Substrat angeordnet ist, verringert wird, und/oder die DC-Vorspannung der unteren Elektrode erhöht wird. Weitere Parameter können von jenen in dem Hauptätzschritt 502 verwendeten variiert werden, um den schrägen Ätzfuß zu erzielen.
  • Wie oben erwähnt, kann der zum Einführen des schrägen Ätzfußes ausgebildete Ätzschritt einen oder mehrere separate Ätzschritte enthalten. Zum Beispiel kann nach Beendigung des Hauptätzens eine separate Ätzrezeptur verwendet werden, um durch den übrigen Teil der Verbindungsschicht, z. B. der Verbindungsschicht 110 von 1, zu ätzen. Eine weitere Sperrätzrezeptur kann zum Ätzen durch die Sperrschicht, z. B. die Sperrschicht 108 von 1, und als eine Überätzrezeptur verwendet werden. 6 zeigt dieses Ausführungsbeispiel, in dem der Ätzschritt 504 des schrägen Ätzfußes von 5 in zwei separaten Ätzschritten 602 und 604 erzielt wird. In 6 können Schritt 602 und/oder 604 vorzugsweise die Ätzschritte darstellen, die zum Einführen des schrägen Ätzfußes ausgebildet sind.
  • In einem noch weiteren Ausführungsbeispiel kann eine weitere Ätzrezeptur eingesetzt werden, um den Überätzschritt durchzuführen. 7 zeigt ein alternatives Ausführungsbeispiel, in dem der Ätzschritt des schrägen Ätzfußes 504 von 5 in drei separaten Schritten erzielt wird. In Schritt 702 wird der übrige Teil der Verbindungsschicht (nach Abschluss des Schritts 502 von 5 übriggelassen) vorzugsweise mittels einer Rezeptur zum Bilden des schrägen Ätzfußes geätzt.
  • In Schritt 704 wird die Sperrschicht, z. B. die Sperrschicht 108 von 1, mit einer Sperrätzrezeptur geätzt. Da Schritt 702 bereits den schrägen Ätzfuß bilden kann, ist es nicht immer notwendig, dass die in Schritt 704 eingesetzte Sperrätzrezeptur ausgebildet ist, um ein schräges Ätzmerkmal in der Sperrschicht einzuführen. Dies gilt insbesondere für Schichtstapel, deren Sperrschichten relativ dünn sein können. Jedoch kann die in Schritt 704 eingesetzte Sperrätzrezeptur in einem Ausführungsbeispiel so ausgebildet sein, dass sie den schrägen Ätzfuß weiter vergrößert oder im wesentlichen beibehält. In Schritt 706 kann eine separate Überätzrezeptur verwendet werden, um Spuren des leitenden Materials in Bereichen, wo leitendes Material nicht erwünscht ist, z. B. zwischen den geätzten Merkmalen und in den offenen Feldbereichen, zu reinigen.
  • In den folgenden Absätzen sind ungefähre Bereiche von Parametern offenbart, die zum Einführen des schrägen Ätzfußes an den geätzten leitenden Merkmalen geeignet sind. Diese Parameterbereiche werden zum Ätzen einer leitenden Schicht aus Aluminiumlegierung, die mit einer Sperrschicht mit Ti, TiN oder TiW versehen sein kann, als geeignet angesehen. In dem Fluorchemiefall kann die optionale Sperrschicht zum Beispiel W oder TiW aufweisen. Obwohl die geeigneten Bereiche hier in Verbindung mit 100 mm und 200 mm Wafern in einem Plasmareaktor TCP 9600 SE offenbart sind, sollten diese Bereiche als Richtlinien dienen, um es einem Fachmann zu erlauben, die offenbarte Ätztechnik auf Wafer mit anderen Maßen und/oder auf andere Ätzreaktoren (ob Plasma involviert ist oder nicht) anzuwenden.
  • 100 mm Wafer geätzt mit Chlorchemie in Plasmareaktor TCP 9600 SE
  • Für einen 100 mm Wafer, der mit Chlorchemie geätzt ist, liegt die Gesamtströmungsrate des Ätzmittelquellgases (in Normkubikmeter je Minute) zwischen etwa 40 Normkubikmeter je Minute (sccm) und etwa 150 sccm, bevorzugter zwischen etwa 40 sccm und etwa 200 sccm, und vorzugsweise bei etwa 70 sccm. Das Verhältnis Cl2 zu BCl3 beträgt zwischen etwa 0,25 und 2,0, bevorzugter zwischen 0,5 und etwa 1,3 und bevorzugt etwa 1,0.
  • Die TCP-Leistung kann etwa zwischen 200 W und etwa 500 W, bevorzugter zwischen etwa 350 W und etwa 450 W, und bevorzugt bei etwa 475 W liegen. Das Verhältnis der TCP-Leistung zu der Leistung der unteren Elektrode kann zwischen etwa 1,15 und etwa 3,0, bevorzugter zwischen etwa 1,2 und etwa 1,45, und am bevorzugtesten bei etwa 1,35 liegen.
  • Der Druck im Kammerinnern, in Millitorr (mT; 1 mT = 133 mPa) liegt zwischen etwa 4 mT und etwa 25 mT, bevorzugter zwischen etwa 8 mT und etwa 15 mT, und am bevorzugtesten bei etwa 10 mT. Der rückseitige Heliumkühldruck, in Torr (T) kann zwischen 0 T und etwa 20 T, bevorzugter zwischen etwa 8 T und etwa 12 T, und am bevorzugtesten bei etwa 10 T liegen.
  • Zusätzlich kann ein Polymerablagerungsunterstützungsgas vorgesehen sein. In einem Ausführungsbeispiel wird Stickstoff (N2) mit etwa 3 sccm bis etwa 25 sccm, bevorzugter zwischen etwa 5 sccm bis etwa 10 sccm, und am bevorzugtesten mit etwa 5 sccm zugeführt. Optional kann zusätzlich oder alternativ Sauerstoff (O2) mit zwischen etwa 3 sccm und etwa 15 sccm, bevorzugter zwischen etwa 3 sccm und etwa 5 sccm, und am bevorzugtesten mit etwa 4 sccm vorgesehen sein. Ferner können Fluorcarbongase, z. B. irgendwelche Freongase, zusätzlich oder alternativ zwischen etwa 3 sccm bis etwa 15 sccm, bevorzugter zwischen 3 sccm und etwa 10 sccm, und am bevorzugtesten mit etwa 5 sccm hinzugefügt werden. Ferner können Kohlenwasserstoffgase, z. B. Methan, zusätzlich oder alternativ mit zwischen etwa 3 sccm und etwa 15 sccm, bevorzugter zwischen etwa 3 sccm und etwa 10 sccm, und am bevorzugtesten mit etwa 4 sccm hinzugefügt werden.
  • 100 mm Wafer geätzt mit Fluorchemie in Plasmareaktor TCP 9600 SE
  • In einem Ausführungsbeispiel kann alternativ oder zusätzlich Fluorchemie eingesetzt werden. Falls Fluorchemie eingesetzt wird, können die oben in Zusammenhang mit der Chlorchemie erwähnten Parameter, z. B. der Kammerdruck, der rückwärtige Heliumkühldruck und die Polymerablagerungsunterstützungsgase angewendet werden. Jedoch kann die RF-Leistung für die Fluorchemie zwischen etwa 200 W und etwa 600 W, bevorzugter zwischen etwa 400 W und etwa 500 W, und am bevorzugtesten bei etwa 500 W liegen.
  • Das Verhältnis der TCP-Leistung zu der Leistung der unteren Elektrode kann zwischen etwa 2 bis etwa 24, bevorzugter zwischen etwa 2,5 und etwa 6, und am bevorzugtesten bei etwa 3 liegen. Das Gesamtvolumen des Ätzmittelgases kann zwischen etwa 30 sccm bis etwa 100 sccm, bevorzugter zwischen etwa 40 sccm und etwa 60 sccm, und am bevorzugtesten bei etwa 50 sccm liegen. Das Verhältnis von SF6 zu BCl3 kann zwischen etwa 0,5 bis etwa 4,0, bevorzugter zwischen etwa 1,0 bis etwa 3,0, und am bevorzugtesten bei etwa 1,2 liegen. Optional kann Chlor (Cl2) zwischen etwa 1% bis etwa 60%, bevorzugter zwischen etwa 10% und 30%, und vorzugsweise mit etwa 20% des Gesamtgasstroms hinzugegeben werden. Ein Polymerablagerungsunterstützungsgas kann ebenfalls vorgesehen sein. Zum Beispiel kann Stickstoff (N2) zwischen etwa 5 sccm und etwa 10 sccm, bevorzugter mit etwa 5 sccm vorgesehen sein.
  • 200 mm Wafer, geätzt mit Chlorchemie in Plasmareaktor TCP 9600 SE
  • In einem Ausführungsbeispiel kann die TCP-RF-Leistung zwischen etwa 250 W und etwa 700 W, bevorzugter zwischen etwa 400 W und etwa 550 W, und am bevorzugtesten etwa 450 W betragen. Das Verhältnis der TCP-Elektrodenleistung zu der Leistung der unteren Elektrode kann zwischen etwa 1,15 und etwa 3,0, bevorzugter zwischen etwa 1,2 und etwa 1,45, und am bevorzugtesten etwa 1,2 betragen.
  • Das Gesamtvolumen des Ätzmittelquellgases kann zwischen etwa 40 sccm und etwa 400 sccm, bevorzugter zwischen etwa 40 sccm und etwa 200 sccm, und am bevorzugtesten bei etwa 100 sccm liegen. Das Verhältnis von Cl2 zu BCl3 kann zwischen etwa 0,25 bis etwa 2,0, bevorzugter zwischen etwa 0,5 bis etwa 1,3, und am bevorzugtesten bei etwa 0,6 liegen. Der Kammerinnendruck kann zwischen etwa 4 mT und etwa 25 mT, bevorzugter zwischen etwa 8 mT und etwa 15 mT, und am bevorzugtesten etwa 10 mT betragen. Der rückwärtige Heliumkühldruck kann zwischen etwa 0 T und etwa 20 T, bevorzugter zwischen etwa 8 T und etwa 12 T, und am bevorzugtesten bei etwa 12 T liegen.
  • Ein Polymerablagerungsunterstützungsgas kann ebenfalls vorgesehen sein. Zum Beispiel kann Stickstoff (N2) zwischen etwa 5 sccm und etwa 10 sccm, und bevorzugter mit etwa 5 sccm vorgesehen sein.
  • 200 mm Wafer geätzt mit Fluorchemie in Plasmareaktor TCP 9600 SE
  • Wie bei dem Beispiel des 100 mm Wafers, kann an Stelle oder zusätzlich im Ätzschritt der Chlorchemie auch Fluorchemie eingesetzt werden. Im Fall der Fluorchemie können bestimmte Parameter des Beispiels des Chlorätzens des 200 mm Wafers, z. B. der Kammerinnendruck und der rückwärtige Heliumkühldruck, eingesetzt werden. Jedoch kann die TCP-RF-Leistung zwischen etwa 200 W und etwa 700 W, bevorzugter zwischen etwa 400 W und etwa 600 W, und am bevorzugtesten etwa 600 W betragen. Das Verhältnis der TCP-Leistung zu der Leistung der unteren Elektrode kann zwischen etwa 2 und etwa 24, bevorzugter zwischen etwa 2,5 und etwa 6, und am bevorzugtesten etwa 3 betragen.
  • Das Gesamtvolumen des Ätzmittelquellgases kann zwischen etwa 50 sccm und etwa 150 sccm, bevorzugter zwischen etwa 60 sccm und etwa 100 sccm, und am bevorzugtesten bei etwa 80 sccm liegen. Das Verhältnis von SF6 zu BCl3 kann zwischen etwa 0,05 bis etwa 4,0, bevorzugter zwischen etwa 1,0 bis etwa 3,0, und am bevorzugtesten etwa 2,2 betragen. Optional kann Cl2 zwischen etwa 1 sccm bis etwa 25 sccm, bevorzugter zwischen etwa 1 sccm und etwa 15 sccm, und am bevorzugtesten mit etwa 10 sccm zugegeben sein.
  • Ein Polymerablagerungsunterstützungsgas kann ebenfalls vorgesehen sein. Zum Beispiel kann Stickstoff (N2) zwischen etwa 3 sccm und etwa 25 sccm, bevorzugter zwischen etwa 5 sccm und etwa 15 sccm, und am bevorzugtesten mit etwa 10 sccm vorgesehen sein. Sauerstoff (02) kann alternativ oder zusätzlich mit zwischen etwa 3 sccm und etwa 15 sccm, bevorzugter zwischen etwa 5 sccm und etwa 10 sccm zugegeben sein. Ähnliche Zahlenbereiche existieren für das optionale Freon und Kohlenwasserstoff, die alternativ oder zusätzlich zu anderen Polymerablagerungsunterstützungsgasen verwendet werden können (z. B. zwischen etwa 3 sccm und etwa 15 sccm, und bevorzugter zwischen 5 sccm und etwa 10 sccm).
  • Für den Fluorchemiefall kann der Kammerdruck zwischen etwa 4 mT und etwa 25 mT, bevorzugter zwischen etwa 8 mT und etwa 15 mT, und am bevorzugtesten etwa 12 mT betragen. Der rückseitige Heliumkühldruck kann zwischen etwa 0 T und etwa 20 T, bevorzugter zwischen etwa 8 T und etwa 12 T und am bevorzugtesten bei etwa 12 T liegen.
  • BEISPIELE
  • Beispiel 1 (außerhalb der beanspruchten Erfindung)
  • In einer Ätzprobe wird ein 200 mm Wafer mit darauf 1.500 Å TiW als Sperrschicht, einer darüber liegenden Verbindungsschicht mit 5.500 Å Al-Si-Cu und einer darüber liegenden Vergütungsschicht (ARC) mit 250 Å TiN in einem Plasmareaktor TCP 9600 SE bearbeitet. Die in dem Hauptätzen eingesetzten Chemikalien enthalten Cl2 und BCl3. Die für den Ätzschritt des schrägen Ätzfußes verwendete Chemikalie enthält ferner SF6 und N2.
  • In dem Stabilisierungsschritt beträgt der Druck in der Kammer etwa 12 mT und der rückseitige Heliumdruck beträgt etwa 5 T. Cl2 und BCl3-Ätzmittelquellgase werden mit etwa 80 sccm bzw. etwa 40 sccm eingeleitet. Der Stabilisierungsschritt endet, wenn der Druck (Kammer- und/oder rückseitiger Kühldruck) und die Gasströmungsbedingungen in dem Plasmareaktor stabilisiert sind.
  • In dem Durchbruch- und Aluminiumhauptätzschritt wird der Druck in der Reaktorkammer gleich gehalten (bei etwa 12 mT) wie der rückseitige Heliumkühldruck (bei etwa 5 Torr). Die obere TCP-Elektrodenleistung beträgt etwa 500 W und die untere Leistung liegt bei etwa 350 W. Die Cl2- und BCl3-Gasströme betragen etwa 80 sccm bzw. etwa 40 sccm. Der Durchbruch- und Aluminiumhauptätzschritt endet, wenn ein Aluminiumendpunkt erfasst wird, z. B. durch Überwachen der optischen Emission bei 261 nm.
  • Es wird dann ein Aluminiumüberätz- und Reinigungsschritt mit dem gleichen Innenkammerdruck (bei etwa 12 mT) und der gleichen RF-Leistung (bei etwa 500 W bzw. etwa 350 W für die TCP-Leistung und die Leistung der unteren Elektrode) eingesetzt. Das Cl2- und das BCl3-Gas strömen in diesem Aluminiumüberätz/Reinigungsschritt mit jeweils etwa 50 sccm. Der rückseitige Heliumkühldruck beträgt etwa 12 T. Der Überätzschritt ist ein 80%-Überätzen, d. h. die Dauer dieses Überätzschritts beträgt etwa 80% der Dauer des Durchbruch- und Aluminiumhauptätzschritts.
  • Um den schrägen Ätzfuß in der TiW-Sperrschicht zu erzeugen, werden die RF-Leistung sowie die Cl2- und BCl3-Gasströme geändert. Zum Beispiel betragen in dem Ätzschritt des schrägen Ätzfußes die TCP Leistung und die Leistung der unteren Elektrode etwa 600 W bzw. etwa 200 W. Die Cl2- und BCl3-Gasströme sind etwa 10 sccm bzw. etwa 25 sccm. Zusätzlich ist auch ein SF6-Gasstrom mit etwa 55 sccm vorgesehen. Ein Polymerablagerungsunterstützungsgas N2 strömt mit etwa 10 sccm. Der rückwärtige Heliumkühldruck wird bei etwa 12 T gehalten und der Druck in dem Kammerinnern beträgt etwa 12 mT. Der Ätzschritt des schrägen Ätzfußes endet, wenn ein Wolframendpunkt erfasst wird, z. B. durch Überwachen der optischen Emission bei 703 nm.
  • Ein TiW-Überätzen und ein Reinigungsätzen (100%-Überätzen) werden ebenfalls durchgeführt. Bei diesem TiW-Überätzschritt wird der Innenkammerdruck bei etwa 12 mT gehalten, und der rückwärtige Heliumkühldruck beträgt etwa 5 T. Die RF-Leistung wird bei etwa 600 W und 200 W für die TCP-Leistung bzw. die untere Elektrodenleistung gleich gehalten. Im wesentlichen strömt kein Chlorgas in dem TiW-Überätzschritt. Jedoch strömen etwa 25 sccm BCl3 und etwa 80 sccm SF6 während des TiW-Überätzschritts in die Kammer.
  • Beispiel 2 (außerhalb der beanspruchten Erfindung)
  • In einem weiteren Probeätzen wird ein 200 mm Wafer mit darauf 1.000 Å TiN als Sperrschicht, einer darüber liegenden Verbindungsschicht mit 7.500 Å Al-Si-Cu und einer darüber liegenden Vergütungsschicht (ARC) mit 250 Å TiN in einem Plasmareaktor TCP 9600 SE bearbeitet.
  • In dem Stabilisierungsschritt beträgt der Innenkammerdruck etwa 10 mT. Der Cl2-Strom und der BCl3-Strom sind etwa 90 sccm bzw. 60 sccm. Der rückwärtige Heliumdruck beträgt etwa 5 T. Der Stabilisierungsschritt endet, wenn der Druck (Kammer- und/oder rückwärtiger Kühldruck) und die Gasströmungsbedingungen in dem Plasmareaktor stabilisiert sind.
  • Ein weiterer Ätzschritt wird eingesetzt, um die ARC-Schicht zu durchbrechen und das Aluminiumhauptätzen durchzuführen. In diesem Durchbruch- und Aluminiumhauptätzschritt beträgt der Druck in der Kammer etwa 10 mT. Die RF-Leistung für die obere TCP-Elektrode und die untere Elektrode beträgt etwa 500 W bzw. 350 W. Chlor und BCl3-Ätzmittelquellgas strömen mit etwa 90 sccm bzw. 60 sccm. Der rückseitige Heliumkühldruck beträgt etwa 5 Torr. Das Durchbruch- und Aluminiumhauptätzen endet, wenn ein Endpunkt für den Aluminiumätzschritt erfasst wird.
  • In einem weiteren Ätzschritt wird eine Ätzrezeptur eingesetzt, um den schrägen Ätzfuß zu erzeugen und um einen Überätzschritt durchzuführen. In diesem Schritt beträgt der Druck in der Kammer etwa 10 mT. Die RF-Leistungseinstellungen für die TCP-Elektrode und die untere Elektrode betragen etwa 450 W bzw. etwa 375 W. Die Ätzmittelquellgasströme für das Cl2 und das BCl3 sind etwa 40 sccm bzw. etwa 60 sccm. Der rückwärtige Heliumkühldruck beträgt etwa 12 T. Dieser Ätzschritt endet, wenn 100% Überätzen erzielt sind.
  • Während diese Erfindung anhand mehrerer bevorzugter Ausführungsbeispiele beschrieben worden ist, gibt es Abwandlungen, Permutationen und Äquivalente, die in den Schutzumfang dieser Erfindung fallen. Es ist selbst verständlich, dass es viele alternative Wege zur Realisierung der Verfahren und Vorrichtungen der vorliegenden Erfindung gibt. Es ist deshalb beabsichtigt, dass die folgenden anhängenden Ansprüche alle solchen Abänderungen, Permutationen und Äquivalente, die in den Schutzumfang der vorliegenden Erfindung fallen, enthalten sollen.

Claims (17)

  1. Verfahren zum Bilden eines leitenden Merkmals durch Ätzen durch eine einzelne leitende Schicht (110) aus einem einzigen Material, die auf einem Halbleitersubstrat angeordnet ist, in einer Substratbearbeitungskammer, wobei das Verfahren aufweist: das Ätzen teilweise durch die leitende Schicht mit einer ersten Ätzrezeptur, um einen oberen Abschnitt (406) mit im wesentlichen senkrechten Ätzseitenwänden des leitenden Merkmals und einer ersten Breite zu bilden; und das anschließende Ätzen durch eine übrige Dicke der leitenden Schicht mit einer zweiten Ätzrezeptur unterschiedlich von der ersten Ätzrezeptur, um einen unteren Abschnitt (408) des leitenden Merkmals zu bilden, wobei der untere Abschnitt unter dem oberen Abschnitt angeordnet ist, die zweite Ätzrezeptur ausgebildet ist, um einen schrägen Ätzfuß in dem unteren Abschnitt des leitenden Merkmals zu erzielen, und der Fuß im Querschnitt breiter als die erste Breite des geätzten oberen Abschnitts der leitenden Schicht ist.
  2. Verfahren nach Anspruch 1, bei welchem die erste Ätzrezeptur ausgebildet ist, um eine höhere Ätzrate durch die leitende Schicht als eine durch die zweite Ätzrezeptur erzielte Ätzrate zu erzielen.
  3. Verfahren nach Anspruch 2, bei welchem die erste Ätzrezeptur ausgebildet ist, um eine Ätzrate durch die leitende Schicht zu maximieren.
  4. Verfahren nach einem der vorhergehenden Ansprüche, bei welchem die Substratbearbeitungskammer eine Plasmabearbeitungskammer darstellt.
  5. Verfahren nach Anspruch 4, bei welchem die Plasmabearbeitungskammer eine Transformator-Plasmaätzkammer darstellt.
  6. Verfahren nach Anspruch 5, bei welchem das leitende Merkmal mittels Chlorchemie geätzt wird.
  7. Verfahren nach Anspruch 5, bei welchem die leitende Merkmal mittels Fluorchemie geätzt wird.
  8. Verfahren nach Anspruch 5, bei welchem die Transformator-Plasmaätzkammer eine untere Elektrode unter dem Substrat enthält, wobei eine DC-Vorspannung der unteren Elektrode während des Ätzens des unteren Abschnitts des leitenden Merkmals höher als eine DC-Vorspannung der unteren Elektrode während des Bildens des oberen Abschnitts des leitenden Merkmals ist.
  9. Verfahren nach einem der vorhergehenden Ansprüche, bei welchem das Substrat während des Ätzens des unteren Abschnitts des leitenden Merkmals auf einer niedrigeren Temperatur gehalten wird als ein Temperatur des Substrats während des Bildens des oberen Abschnitts des leitenden Merkmals.
  10. Verfahren nach einem der vorhergehenden Ansprüche, bei welchem das leitende Merkmal über einer in einer Isolierschicht ausgebildeten Durchkontaktierung angeordnet ist, wobei die Isolierschicht unter der leitenden Schicht angeordnet ist, der obere Abschnitt des leitenden Merkmals eine Breite enger als ein Durchmesser der Durchkontaktierung hat, und der untere Abschnitt des leitenden Merkmals wenigstens so breit wie der Durchmesser der Durchkontaktierung an einer Schnittstelle zwischen dem unteren Abschnitt des leitenden Merkmals und der Durchkontaktierung ist.
  11. Verfahren nach Anspruch 10, bei welchem die Durchkontaktierung einen darin angeordneten leitenden Stopfen enthält, wobei der leitende Stopfen Wolfram aufweist.
  12. Verfahren nach Anspruch 11, bei welchem die Durchkontaktierung eine zwischen dem leitenden Stopfen und den Innenwänden der Durchkontaktierung angeordnete Schicht enthält.
  13. Verfahren nach Anspruch 12, bei welchem der leitende Stopfen mit einem darunter liegenden leitenden Merkmal in elektrischem Kontakt ist, welches unter der Isolierschicht angeordnet ist.
  14. Verfahren nach Anspruch 13, bei welchem das Halbleitersubstrat ein zum Herstellen von integrierten Schaltungen eingesetztes Halbleitersubstrat darstellt.
  15. Integrierte Schaltung mit auf einem Halbleitersubstrat gebildeten Komponenten, mit einem ersten leitenden Merkmal (402), das aus einer ersten leitenden Schicht geätzt ist, die auf dem Halbleitersubstrat (100) angeordnet ist; einer Isolierschicht (104), die auf dem ersten leitenden Merkmal angeordnet ist, wobei die Isolierschicht (104) darin eine Durchkontaktierung aufweist; einem in der Durchkontaktierung angeordneten leitenden Stopfen (114), wobei der leitende Stopfen mit dem ersten leitenden Merkmal elektrisch gekoppelt ist; und einem über der Durchkontaktierung angeordneten zweiten leitenden Merkmal, wobei das leitende Merkmal wenigstens so breit wie die Durchkontaktierung ist und aus einer zweiten einzelnen leitenden Schicht (110) aus einem einzigen Material geätzt ist, welches auf der Isolierschicht angeordnet ist, wobei das leitende Merkmal mit dem in der Durchkontaktierung angeordneten leitenden Stopfen elektrisch gekoppelt ist und besteht aus einem oberen Abschnitt mit einem ersten Ätzprofil und einer ersten Breite, und darunter einem unteren Abschnitt unter dem oberen Abschnitt, wobei der untere Abschnitt ein zweites Ätzprofil unterschiedlich von dem ersten Ätzprofil hat, wobei der untere Abschnitt einen schrägen Ätzfuß hat, wobei der Fuß breiter im Querschnitt als die erste Breite des geätzten oberen Abschnitts der leitenden Schicht ist.
  16. Integrierte Schaltung nach Anspruch 15, bei welcher der leitende Stopfen Wolfram aufweist.
  17. Integrierte Schaltung nach Anspruch 16, bei welcher das erste Ätzprofil anisotroper als das zweite Ätzprofil ist.
DE69819023T 1997-03-19 1998-03-17 Methode, eine leitende schicht zu ätzen Expired - Fee Related DE69819023T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US820533 1986-01-17
US08/820,533 US5849641A (en) 1997-03-19 1997-03-19 Methods and apparatus for etching a conductive layer to improve yield
PCT/US1998/005202 WO1998042020A1 (en) 1997-03-19 1998-03-17 Method for etching a conductive layer

Publications (2)

Publication Number Publication Date
DE69819023D1 DE69819023D1 (de) 2003-11-20
DE69819023T2 true DE69819023T2 (de) 2004-04-22

Family

ID=25231067

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69819023T Expired - Fee Related DE69819023T2 (de) 1997-03-19 1998-03-17 Methode, eine leitende schicht zu ätzen

Country Status (8)

Country Link
US (1) US5849641A (de)
EP (1) EP1010203B1 (de)
JP (1) JP4451934B2 (de)
KR (1) KR100493486B1 (de)
AT (1) ATE252275T1 (de)
DE (1) DE69819023T2 (de)
TW (1) TW468226B (de)
WO (1) WO1998042020A1 (de)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159861A (en) * 1997-08-28 2000-12-12 Nec Corporation Method of manufacturing semiconductor device
KR100257080B1 (ko) * 1997-09-26 2000-05-15 김영환 반도체소자의제조방법
US6077762A (en) * 1997-12-22 2000-06-20 Vlsi Technology, Inc. Method and apparatus for rapidly discharging plasma etched interconnect structures
JP3819576B2 (ja) * 1997-12-25 2006-09-13 沖電気工業株式会社 半導体装置及びその製造方法
US5939335A (en) * 1998-01-06 1999-08-17 International Business Machines Corporation Method for reducing stress in the metallization of an integrated circuit
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6248252B1 (en) * 1999-02-24 2001-06-19 Advanced Micro Devices, Inc. Method of fabricating sub-micron metal lines
TW573369B (en) * 1999-03-31 2004-01-21 Lam Res Corp Improved techniques for etching an aluminum neodymium-containing layer
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6387820B1 (en) * 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
DE10149736C1 (de) * 2001-10-09 2003-04-17 Infineon Technologies Ag Verfahren zum Ätzen eines Metallschichtsystems
US7244671B2 (en) * 2003-07-25 2007-07-17 Unitive International Limited Methods of forming conductive structures including titanium-tungsten base layers and related structures
KR100831572B1 (ko) * 2005-12-29 2008-05-21 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
JP2007214171A (ja) * 2006-02-07 2007-08-23 Hitachi High-Technologies Corp エッチング処理方法
US20100003828A1 (en) * 2007-11-28 2010-01-07 Guowen Ding Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas
US8409937B2 (en) * 2011-01-07 2013-04-02 Eastman Kodak Company Producing transistor including multi-layer reentrant profile
CN106158724B (zh) * 2015-03-24 2019-03-12 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2312114A1 (fr) * 1975-05-22 1976-12-17 Ibm Attaque de materiaux par ions reactifs
US3994793A (en) * 1975-05-22 1976-11-30 International Business Machines Corporation Reactive ion etching of aluminum
DE3071299D1 (en) * 1979-07-31 1986-01-30 Fujitsu Ltd Dry etching of metal film
US4373990A (en) * 1981-01-08 1983-02-15 Bell Telephone Laboratories, Incorporated Dry etching aluminum
JPS57170534A (en) * 1981-04-15 1982-10-20 Hitachi Ltd Dry etching method for aluminum and aluminum alloy
US4370196A (en) * 1982-03-25 1983-01-25 Rca Corporation Anisotropic etching of aluminum
JPS63238288A (ja) * 1987-03-27 1988-10-04 Fujitsu Ltd ドライエツチング方法
US4838992A (en) * 1987-05-27 1989-06-13 Northern Telecom Limited Method of etching aluminum alloys in semi-conductor wafers
JPS6432633A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Taper etching method
NL8902744A (nl) * 1989-11-07 1991-06-03 Koninkl Philips Electronics Nv Werkwijze voor het aanbrengen van sporen uit aluminium of een aluminiumlegering op een substraat.
DE3940083A1 (de) * 1989-12-04 1991-06-13 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen
JP2673380B2 (ja) * 1990-02-20 1997-11-05 三菱電機株式会社 プラズマエッチングの方法
JP3170791B2 (ja) * 1990-09-11 2001-05-28 ソニー株式会社 Al系材料膜のエッチング方法
US5211804A (en) * 1990-10-16 1993-05-18 Oki Electric Industry, Co., Ltd. Method for dry etching
US5185058A (en) * 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5126008A (en) * 1991-05-03 1992-06-30 Applied Materials, Inc. Corrosion-free aluminum etching process for fabricating an integrated circuit structure
EP0535540A3 (en) * 1991-10-02 1994-10-19 Siemens Ag Etching process for aluminium-containing coatings
DE4136178A1 (de) * 1991-11-02 1993-05-06 Deutsche Thomson-Brandt Gmbh, 7730 Villingen-Schwenningen, De Schaltung zur kontinuierlichen zoom-einstellung der bildbreite in einem fernsehempfaenger
JPH06108272A (ja) * 1992-09-30 1994-04-19 Sumitomo Metal Ind Ltd プラズマエッチング方法
JP3449741B2 (ja) * 1992-11-26 2003-09-22 東京エレクトロン株式会社 プラズマエッチング方法
US5387556A (en) * 1993-02-24 1995-02-07 Applied Materials, Inc. Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2
JP3317582B2 (ja) * 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
US5783101A (en) * 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma

Also Published As

Publication number Publication date
KR100493486B1 (ko) 2005-06-03
US5849641A (en) 1998-12-15
KR20000076337A (ko) 2000-12-26
JP2001517367A (ja) 2001-10-02
ATE252275T1 (de) 2003-11-15
EP1010203A1 (de) 2000-06-21
TW468226B (en) 2001-12-11
WO1998042020A1 (en) 1998-09-24
EP1010203B1 (de) 2003-10-15
DE69819023D1 (de) 2003-11-20
JP4451934B2 (ja) 2010-04-14

Similar Documents

Publication Publication Date Title
DE69819023T2 (de) Methode, eine leitende schicht zu ätzen
DE69935100T2 (de) Verfahren zur Ätzung einer Metallisierung mittels einer harten Maske
DE69937807T2 (de) Entfernen von oxiden oder anderen reduzierbaren verunreinigungen mittels plasmabehandlung
DE4310955C2 (de) Verfahren zum Bearbeiten eines Halbleiterwafers
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE69909248T2 (de) Verfahren zur verminderung der erosion einer maske während eines plasmaätzens
DE69835032T2 (de) Verbesserte methode eine oxidschicht zu ätzen
DE69833436T2 (de) Plasmareaktor für die passivierung eines substrates
DE102007052050B4 (de) Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
DE2617483C2 (de) Verfahren zum Abtragen von Silicium und Aluminium durch Ionenätzung unter Feldeinwirkung
DE69626562T2 (de) Verfahren zum isotropen Ätzen von Silizium, das hochselektiv gegenüber Wolfram ist
DE3140890C2 (de) Photolithographisches Verfahren zum Herstellen einer integrierten Schaltungsvorrichtung
DE69838202T2 (de) Endpunktfühlung und Apparat
DE19860780A1 (de) Halbleitervorrichtung und Herstellungsverfahren einer Halbleitervorrichtung
DE4130391C2 (de) Verfahren zum selektiven entfernen einer schicht und dessen verwendung
DE19746425A1 (de) Heliconwellenerregung zum Erzeugen energiereicher Elektronen zur Herstellung von Halbleitern
DE10340848A1 (de) Herstellungsverfahren für eine Halbleitereinrichtung
EP0126969B1 (de) Verfahren zum Herstellen von Strukturen von aus Metallsiliziden bzw. Silizid-Polysilizium bestehenden Schichten für integrierte Halbleiterschaltungen durch reaktives Ionenätzen
DE10226603A1 (de) Verfahren zum Strukturieren einer Siliziumschicht sowie dessen Verwendung zur Herstellung einer integrierten Halbleiterschaltung
DE102013111860A1 (de) Prozesswerkzeuge und Verfahren zur Bildung von Vorrichtungen unter Verwendung von Prozesswerkzeugen
DE10145173C2 (de) Verfahren zur Bildung von Kontakten bei der Herstellung einer integrierten DRAM-Schaltung
DE10338292A1 (de) Verfahren zum Ätzen einer Metallschicht unter Verwendung einer Maske, Metallisierungsverfahren für eine Halbleitervorrichtung, Verfahren zum Ätzen einer Metallschicht und ein Ätzgas
DE102007009913B4 (de) Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika
DE10214065B4 (de) Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee