TW410401B - Improved plasma etching method - Google Patents

Improved plasma etching method Download PDF

Info

Publication number
TW410401B
TW410401B TW084113288A TW84113288A TW410401B TW 410401 B TW410401 B TW 410401B TW 084113288 A TW084113288 A TW 084113288A TW 84113288 A TW84113288 A TW 84113288A TW 410401 B TW410401 B TW 410401B
Authority
TW
Taiwan
Prior art keywords
gas
etching
scope
substrate
chamber
Prior art date
Application number
TW084113288A
Other languages
English (en)
Inventor
Virinder S Grewal
Original Assignee
Siemens Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens Ag filed Critical Siemens Ag
Application granted granted Critical
Publication of TW410401B publication Critical patent/TW410401B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Description

經濟部中央標準局員工消費合作社印装 410401 A7 B7 五、發明説明() 本發明開於一種改良的蝕刻方法。更特別的是本發明 係一種用於提供具有高蓮擇性的異方向性蝕刻之闞棰堆 蠱之改良的霍漿独刻方法c 發明背景 在類如矽晶圓之半導鵲基體上製造電晶髏,箱有幾種 步驟來形成罨晶體之闊榷,一閘極氣化物之第一層,例 如氣化矽是沈積在矽基體上以保護之。其次,一導電摻 雜之多晶矽層被沈積,並可選擇性地随之以一類如耐熱 金羼矽化物之導電材料層。一種氣化矽或氮化矽之帽蹇 層,最佳是由自四乙氧基矽烷(TE0S)化學氣相沈積所産 生之氣化矽亦被沈積。 典型之閘極堆ft如第1A圖所示,其中矽晶12之上具有 一閘極氣化物層13,隨之加上一導電性之高摻雜多晶矽 之第一閘極曆14,一耐熱金靥矽化物之第二閘極層15, 如矽化鈦或矽化鎢,及一氣化矽之帽蓋層16亦被沈積。 此閘極堆叠必霈加蝕刻以形成一閘掻於基體上之諸區 域間,其中將形成源極及汲極。氣化矽之硬單層16是塗 覆有光阻剤,光阻剤被圖型化,及以習知方式蝕刻氣化 矽層以形成一 ”硬罩"(hard aask)。可適用於硬罩之蝕 刻劑是一含類如CUF^ , C2 Fe , CF4等之含氟氣體。 第1B圈解說已被蝕刻之閘極堆叠,相同之層是使用如 第1A圖中之同樣數字。氣化砂硬罩及鬭極氣化物層被保 * 3 ^ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公嫠) (請先閲讀背面之注意事項#填寫本頁)
410401 A7 __B7 五、發明説明() 留.而二導電層則予以蝕刻。 就如元件成為更小及更接近一起的安置*閘極乃成為 相當地更薄及更窄,因此濕蝕刻技術已大都為乾蝕刻法 ,待別是電漿蝕刻法所取代.皆因電漿蝕刻法是更為異 方向性及因而不會下刻該閘棰,特別是在其與基驩之相 交處。同樣電漿蝕刻法能用於高度選擇性的》即是其可 蝕刻某種材料較快於其他材料。在蘭棰堆蜃之事例中, 蝕刻劑必須蝕刻矽化物層及多晶矽層,但不是氣化矽; 因之帽蓋層及最初之閘極氣化物層保啻芫整,如第1B圖 所示。電漿處理亦是有利的•因為此處理能在相當的低 溫下實行,其不會損壤基體或先前形成之元件。 經濟部中央標準局員工消費合作社印製 已設計有各種不同之真空室以實行電漿蝕刻法。一種 特別設計是由奥格里(Osle)在美國專利第4.9 48,458號 中掲露。其蝕刻裝置形成一磁網合之平面電漿,用於類 如矽晶圓之基體之處理。此裝置包括一具有電介質窗之 室。一平面線圈是安裝在接近該窗處,及一射頻(RF)電 源被销合至該線圏,通常是經一阻抗匹配電路以作最大 化之電力轉移,並有一計時電路以提供在操作頻率,典 型的是13,56KHz(千赫)處諧振。處理氣體是經一進入口 供給至室中。當射頻電力接通時,平面磁場卽被感應出 來並延伸經電介質窗進入室之内部。因之在室中感應之 電子循環流是感應在平行於平面線圈之平面上,限制在 -4 - 本紙張尺度適用中國國家標準(CNS > A4規格(210X297公釐) 經濟部中央標準局員工消費合作社印裝 410401 A7 _____B7__五、發明説明() 非平面方向上之動能轉移。 在室中之表面支座支持待處理之基體並與線圈之平面 平行及因之平行於電漿。由於電漿群(species)在非平 面方向僅有很小的速度,離子群在基體上之蓮動衝擊是 小的而佔優勢之反應是在基體上電漿群與諸層間之化學 反應,此反應發生在低溫及低壓下。 上述裝置之詳細說明是顯示於第2圔至第4圖中。參 考圖2, 3及4,適用於蝕刻單一半導體晶圓110之電漿處 理系統100包括一室112,其具有一形成在上方壁116上 之出入口 H4。一電介質窗118是配置在上方壁11 6之下 及延伸橫過出入口 114。電介質窗118是密封至壁11 6以 界定箱112之真空密封内部119。一平面線圈120是靠近 電介質窗118安裝。線囿120是如一螺旋線形成,其具有 一中央接頭122和一外邊接頭124。線圈120之平面是確 定平行於笛介質窗118和支座表面113二者之方向,在蝕 刻時,晶圓110即安裝在此表面上。線圈12 0能在室112 之内部119中産生一平面電漿,其是平行於晶圈110的。 在線圈12 0與支座表面Η 2之間之適當距離大約是5至10 C Β (公分)。 一射頻(RF)發生器13 0導經一同軸電濂13 2至一匹配電 路134。此匹配電路134包括一主線圏136和一副迴路138 ,其可定位以調節電路之有效耦合並允許在工作頻率下 (諳先閱讀背面之注意事寫本頁) -裝· ---訂 L^i. 線 本紙張尺度適用中國國家樣準(CNS ) Μ現轉·( 210X297公釐) 410401 a? B7 五、發明説明() 電路之加載。主線圈13 6可安裝在一圔碟14 0上,其能繞 一垂直軸142旋轉以調節II合。一可變《容器144是與副 迴路138串聪以調節電路之親諧頻率使與射頻(RP)發生 器輸出之頻率一致。阻抗之匹配使電力轉移至平面線圈 120之效率達到最高。一.附帶電容器146是提供在主電路 中以抵消電路中主線圈1 3 6之部份感應電抗。 來自電源172之第二射頻電位是施加至晶圃支座113 。此射頻發生器172能在低頻下工作(約在550HHz以下) 或在高頻(13.56MHz)下工作,但通常是揉用低頻率的。 射頻發生器172之頻率舆第一射頻發生器130者通常相 異,並提供一振諧電流流經線豳128。因此若第一射頻 發生器130工作在13.56MHz(百萬赫),則第二射頻發生 器172適合工作在例如400KHz。此二個射頻罨源之組 態允許經第一射頻發生器130送入条統100能董之數fi之 控制及射頻發生器172之雷力输出之控制以使能控制在 電漿中加於反應群之速度。 绥濟部中央標準41貝工消費合作社印装 ---------裝— - )/'」 f清也間汰皆面之注意事頃^填寫本頁) 為了要使電漿之密度逹到最大,因而能減少執行一蝕 刻步驟两需之時間,相當高的電力被施加至平面線圈120 ,約在300至600瓦特(watt)之大小。較低之電力是施加 至基體支座11 3約為75至3 00瓦待。 如此高之電力提供在室中低膣,卽ltorr(托= 10^毫 米水銀柱)或更小壓力下之快速蝕刻率。此外由於離子 -6- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公漦) 4X0401 經濟部中央標準局貝工消費合作社印裝 A7 B7___五、發明説明() 産物和未反應的氣艨。 如此之改良的蝕刻賵棰堆疊之方法具有良好的蝕刻速 率及良好的遴擇性又能改良異方性將是高度令人滿意的。 發明概述 本人已發現在一平面線圈之真空室中低電力之使用產 生一非常均勻之電漿。氣和氣化氫之先質氣鹾混合之使 用,在蝕刻時産生具選擇性及能防止阚梅堆疊下刻之電 漿。 各種不同蘭棰堆β之異方向性蝕刻能以高度均勻性及 高度選擇性而獲致其能形成次微米之線路及間隔。 圖,式簡單說明 第1Α圖是一其上具有各種閘極堆曩層之基體之橫剖面 圖; 第1Β圖是第1Α_之基醱經钱刻形成一鬮極堆叠後之橫 剖面圖: 第2圖是一用於産生平面電漿裝置之等角投影圖; 第3圖是第2圔之裝置之橫剖面躕; 第4圖是第2至3囫之裝置之電路之示意圖,其提供 一射頻電位於一垂直於一振谐線圈之方向。 第5圜是一具有由先前技蓊方法製造之蝕刻蘭極堆璺 之基體之橫剖面圖;以及 第6至8圖是根據本發明之處理形成之蝕刻閘極堆ft (锖先聞讀背面之注意事項#:填窝本頁) •装· 訂 本紙張尺度適用中固國家標準(CNS } Α4规格(210ΧΜ7公釐) 410401 A7 B7 五、發明説明() 之顯撖鏡照相相Μ。 發明之詳細描述 閘極堆β能在一氧化矽被覆之矽基塍上製成,例如由 沈積一摻雜多晶矽之第一磨於基體上•沈稹如藉濺射一 導電性之耐熱金黡砂化物層,如TiSi<矽化鈦)或wsi (砂 化鶴),及沈稹一 TE0S氧化矽帽蓋或硬車於矽化物上, 參見上述有商第1A及1B圖之討論.〇 當上述翮極堆疊在第2圖至第4騮之裝置中被蝕刻時 ,使用一種氣氣(約50sccb(毎分鐘擦準立方公分)),氮 氣(約2至3sccm)及氧氣(約2至7sccb)之習知蝕刻氣篇 ,並使用射頻電源産生比較高的300-600瓦特的轚力至 平面線圈及大約75 -300瓦待至基體支座,此基體在蝕刻 時成為帶電的,及蝕刻之锢面外形顯示下刻。 第5圖解睨上述被蝕刻閘棰堆《之側面外形,其中用 於各層之數宇皆是用於如第1A圃和第1B圖中相同材料者 相同。第5圖解說不足之側壁鈍化B經出現,造成矽化 換層15及多晶矽層14二者之下刻。多晶矽層14之下刻最 為醒目,並可能是由於接近蝕刻步驟之末電漿原子團之 經濟部中央標隼局貝工消費合作社印製 活動之増加之故 根據本發明之方法,蘭植堆疊是在第2匾至第4圖之 裝置中使用 HC1(20-100sccb),氣氣(20-100sccn)氣氣 (之一^㈢丨及氣氣^^^^丨之先質蝕刻氣龌被蝕刻。^ -9- 本紙張尺度適用中國國家標準(CNS〉A4規择(210X^7公釐) 經濟部中央標準局員工消費合作社印製 410401 at B7 五、發明説明() 氣及氣氣是加入用於侧面外形之控制及侧壁之鈍也。氣 氣之加入亦增進電漿先質蝕刻氣醴混合氣對閛極氣化物 之選擇性。平面線圈所轉移之電力是0-200瓦特•而送 至基體支座之電力是50-200瓦特。在矽化物與多晶矽間 之1:1蝕刻比例之蝕刻率己達成。橫過8吋晶圓之蝕刻 均勻性較5炻為佳。對閘極氣化物50-100之蝕刻選擇性 能使用上述方法容易的獲得以使.用於50奈米(ηιιΜΘ'3公 尺)之線及間隔。 第6圖是一蝕刻閘棰堆疊之顯撤鏡照相相Η,其包含 有TEOS氣化矽,遍在負子摻雜U-d〇ped)多晶矽上。明 顯的是該蝕刻是無下刻之異方向性。 第7圖是使用本發明方法之另一蝕刻閘棰堆疊之顯撤 鏡照相相H,除開該閘極堆ft包括一多晶矽之第一層後 •並被覆有一第二锻射之矽化銪層及在此矽化鋪層上之 一 TE0S氧化矽帽蓋層。再一次地,蝕刻是棰異方向性的 ,其僅有非常輕撖之多晶矽雇之下刻。 第8圖是根據本發明方法之又一另外之蝕刻閘極堆β 之潁微鏡照相相片,除開此閘極堆ft具有一多晶矽之第 -層外,並被覆有一濺射之矽化鈦層及一TE0S氣化矽之 帽蓋層遍在其上。此蝕刻亦是極異方向性的,其僅有少 許多晶矽層之下刻。 雖然本發明在本說明書中以特定實施例描述·熟知本 -10- 本紙張尺度逍用中囷國家標準(CNS ) A4規格.(210X297公釐) ---------^-- - V (請先閱讀背面之注意事項苒填寫本頁)
•1T 1^·. 410401 五、發明説明() A7 B7 經濟部中央標準局舅工消費合作社印製 技蓊 之 人士 能 容 易 地 替 換 各 種 反應 狀態 t 閛 極 層 * 改 良 之裝 置 及其 他 事 物 * 這 些 都 是 意欲 包含 於 本 說 明 書 中 〇 本發 明 是僅 為 伴 随 之 請 專 利 範圍 所限 制 〇 主要 元 件說 明 12 矽 晶 圓 122 中 央 接 頭 13 閛 極 氧 化 物 層 124 外 邊 接 頭 14 第 一 閘 極 層 130 第 一 射 頻 (RF)發 生器 15 第 二 閘 極 層 132 同 軸 電 m 16 氧 化 矽 之 硬 罩 層 134 匹 配 電 路 100 電 漿 處 理 条 统 136 主 綵 圈 110 半 導 鹾 晶 圓 138 副 迴 路 112 室 140 圓 碟 113 支 座 表 面 142 垂 直 軸 114 出 入 P 144 可 變 電 容 器 116 上 方 壁 146 附 帶 電 容 器 118 電 介 質 窗 150 η 119 内 部 152 真 空 排 氣 糸 統 120 線 圈 172 第 二 射 頻 發 生 器 -11 請 先 閱 讀 背 之 注 意 事 項 再 § 寫 本 頁 本紙張尺度適用中國國家標準(CNS > A4規格.(210X 297公釐)

Claims (1)

  1. A8 B8 C8 D8 經濟部中央標準局貝工消費合作社印製 410401 六、申請專利範圍 第84113288號「改良的轚漿蝕刻法J專利案 (88年3月修正) 巧申鯖專利範圍 1. 一種用於在霜漿中蝕刻一基體之方法,包含安裝一待 蝕刻之基體於一真空室中,導引一先質蝕刻氣體進入 該真空室中,而保持箱中一預先遴擇之壓力,及諧振 一射頻電流在一平面線囿,該平面線圈位在該室之壁 上所安裝之電介質窗之外《及鄰近處,以形成在該室 内實質上平行於該線圈之一平面電漿,因此電漿之離 子及原子圃皆在正交於平面基醴之方向上加速,其改 良之處在於在蝕刻中包含限制送至平面線囿之電力為 0-200瓦特及限制送至基醱支座之電力為50-200瓦特。 2. 如申請專利範圍第1項之方法,其中該基醴是矽,其 具有一閘極氣化物雇在其上,一導轚性多晶矽層是沈 積在該閘槿氣化物靥之上及一帽蓋氧化矽層被沈積在 該處上方。 3. 如申請專利範豳第1項之方法,其中該蝕刻劑氣匾是 一包括氣氣和氯化氫之混合氣。 4. 如申請專利範圍第3項之方法,其中該蝕刻氣龌尚包 括氣氣和氣氣。 5_如申讅專利範圍第2項之方法,其中一耐熱金靥矽化 本紙張尺度逍用中國國家操準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再$本頁)
    經濟部中央標準局舅工消費合作社印装 A8 410401 骂 D8 六、申請專利範圍 物被沈積在該導電性多晶矽層之上c 6.如申請専利範圍第5項之方法·其中該酎熱金屬矽化 物是矽化鈦β 7·如申請専利範圍第5項之方法,其中該耐熱金屬矽化 物是矽化錫。 本紙張尺度逋用中國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閎讀背面之注意事項再填寫本頁)
TW084113288A 1994-12-22 1995-12-13 Improved plasma etching method TW410401B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/362,398 US5591301A (en) 1994-12-22 1994-12-22 Plasma etching method

Publications (1)

Publication Number Publication Date
TW410401B true TW410401B (en) 2000-11-01

Family

ID=23425970

Family Applications (1)

Application Number Title Priority Date Filing Date
TW084113288A TW410401B (en) 1994-12-22 1995-12-13 Improved plasma etching method

Country Status (7)

Country Link
US (1) US5591301A (zh)
EP (1) EP0718876B1 (zh)
JP (1) JPH08236513A (zh)
KR (1) KR100413894B1 (zh)
AT (1) ATE320082T1 (zh)
DE (1) DE69534832T2 (zh)
TW (1) TW410401B (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
KR100230981B1 (ko) * 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US6008139A (en) * 1996-06-17 1999-12-28 Applied Materials Inc. Method of etching polycide structures
US5880033A (en) * 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
US6562724B1 (en) * 1997-06-09 2003-05-13 Texas Instruments Incorporated Self-aligned stack formation
AP1248A (en) * 1997-10-24 2004-02-21 Richard J Fugo Method of plasma incision of matter with a specifically tuned radiofrequency electromagnetic field generator.
US6479785B1 (en) 1998-07-09 2002-11-12 Richard J. Fugo Device for plasma incision of mater with a specifically tuned radiofrequencty electromagnetic field generator
US5958266A (en) * 1997-10-24 1999-09-28 Fugo; Richard J. Method of plasma incision of matter with a specifically tuned radiofrequency electromagnetic field generator
JPH11145113A (ja) * 1997-11-13 1999-05-28 Nec Corp エッチング方法
EP0932190A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method of plasma etching the tungsten silicide layer in the gate conductor stack formation
US6787730B2 (en) 1998-07-09 2004-09-07 Damian Coccio Device for plasma incision of matter with a specifically tuned radiofrequency electromagnetic field generator
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
TW501199B (en) 1999-03-05 2002-09-01 Applied Materials Inc Method for enhancing etching of TiSix
US6261967B1 (en) 2000-02-09 2001-07-17 Infineon Technologies North America Corp. Easy to remove hard mask layer for semiconductor device fabrication
EP1156519A1 (en) * 2000-05-16 2001-11-21 Semiconductor 300 GmbH & Co. KG Gate etch process for 12 inch wafers
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US6642584B2 (en) 2001-01-30 2003-11-04 International Business Machines Corporation Dual work function semiconductor structure with borderless contact and method of fabricating the same
WO2008021321A2 (en) * 2006-08-17 2008-02-21 Rjf Holdings Iv, Inc Method and apparatus for plasma incision of cardiovascular tissue
KR100927398B1 (ko) * 2007-06-26 2009-11-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20120244693A1 (en) * 2011-03-22 2012-09-27 Tokyo Electron Limited Method for patterning a full metal gate structure
US8946002B2 (en) 2012-07-24 2015-02-03 Semiconductor Components Industries, Llc Method of forming a semiconductor device having a patterned gate dielectric and structure therefor
KR101582542B1 (ko) * 2014-08-19 2016-01-05 국방과학연구소 대면적 플라즈마를 이용한 전자기파 감쇠 장치

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4030967A (en) * 1976-08-16 1977-06-21 Northern Telecom Limited Gaseous plasma etching of aluminum and aluminum oxide
US4253907A (en) * 1979-03-28 1981-03-03 Western Electric Company, Inc. Anisotropic plasma etching
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4444618A (en) * 1983-03-03 1984-04-24 General Electric Company Processes and gas mixtures for the reactive ion etching of aluminum and aluminum alloys
US5112435A (en) * 1985-10-11 1992-05-12 Applied Materials, Inc. Materials and methods for etching silicides, polycrystalline silicon and polycides
JPS62162362A (ja) * 1986-01-10 1987-07-18 Mitsubishi Electric Corp Mos型集積回路及びその製造方法
US4789426A (en) * 1987-01-06 1988-12-06 Harris Corp. Process for performing variable selectivity polysilicon etch
JPS63215076A (ja) * 1987-03-04 1988-09-07 Matsushita Electric Ind Co Ltd 半導体集積回路装置
JPS63238288A (ja) * 1987-03-27 1988-10-04 Fujitsu Ltd ドライエツチング方法
US4838992A (en) * 1987-05-27 1989-06-13 Northern Telecom Limited Method of etching aluminum alloys in semi-conductor wafers
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP2673380B2 (ja) * 1990-02-20 1997-11-05 三菱電機株式会社 プラズマエッチングの方法
KR0176715B1 (ko) * 1990-07-30 1999-04-15 오가 노리오 드라이에칭방법
US5259922A (en) * 1990-08-14 1993-11-09 Matsushita Electric Industrial Co., Ltd. Drying etching method
JPH04196529A (ja) * 1990-11-28 1992-07-16 Toshiba Corp プラズマ処理装置
EP0489407A3 (en) * 1990-12-03 1992-07-22 Applied Materials, Inc. Plasma reactor using uhf/vhf resonant antenna source, and processes
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5201990A (en) * 1991-05-23 1993-04-13 Applied Materials, Inc. Process for treating aluminum surfaces in a vacuum apparatus
JPH0582481A (ja) * 1991-09-18 1993-04-02 Nippon Telegr & Teleph Corp <Ntt> ガーネツト膜加工方法
US5323053A (en) * 1992-05-28 1994-06-21 At&T Bell Laboratories Semiconductor devices using epitaxial silicides on (111) surfaces etched in (100) silicon substrates
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5449433A (en) * 1994-02-14 1995-09-12 Micron Semiconductor, Inc. Use of a high density plasma source having an electrostatic shield for anisotropic polysilicon etching over topography

Also Published As

Publication number Publication date
DE69534832T2 (de) 2006-09-28
EP0718876A2 (en) 1996-06-26
US5591301A (en) 1997-01-07
ATE320082T1 (de) 2006-03-15
JPH08236513A (ja) 1996-09-13
KR960026340A (ko) 1996-07-22
KR100413894B1 (ko) 2004-04-21
EP0718876B1 (en) 2006-03-08
DE69534832D1 (de) 2006-05-04
EP0718876A3 (en) 1998-05-20

Similar Documents

Publication Publication Date Title
TW410401B (en) Improved plasma etching method
US7815814B2 (en) Method and system for dry etching a metal nitride
TW451356B (en) Method for removing redeposited veils from etched platinum
TW473808B (en) An RF plasma reactor
TW550661B (en) Method of etching organic antireflection coating (ARC) layers
JPH09106899A (ja) プラズマcvd装置及び方法並びにドライエッチング装置及び方法
TW493231B (en) Improved etching methods for anisotropic profile
US5759921A (en) Integrated circuit device fabrication by plasma etching
TWI687995B (zh) 使用氫電漿之矽提取方法
TW468226B (en) Improved methods and apparatus for etching a conductive layer to improve yield
KR100376001B1 (ko) 미세한 게이트 적층을 위한 폴리실리콘/폴리사이드 에칭방법
JPH10116824A (ja) 高いポリシリコン選択性を有するメタルシリサイドエッチング方法
US8282844B2 (en) Method for etching metal nitride with high selectivity to other materials
JPH09129607A (ja) マイクロ波プラズマエッチング装置及び方法
US8501628B2 (en) Differential metal gate etching process
US5837615A (en) Integrated circuit device fabrication by plasma etching
KR20140021610A (ko) 풀 메탈 게이트 구조를 패터닝하는 방법
JP3862035B2 (ja) 半導体装置およびその製造方法
TW400571B (en) Self-aligned contacts for semiconductor device
US11804379B2 (en) Etching method and plasma processing apparatus
TW469533B (en) Dry etching apparatus
TW502334B (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
TW486742B (en) Gate etch process for 12 inch wafers
WO2023233673A1 (ja) エッチング方法及びプラズマ処理装置
JP3365142B2 (ja) プラズマ装置及びこれを用いたプラズマ処理方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees