KR100530246B1 - 자체 세정가능한 에칭 공정 - Google Patents

자체 세정가능한 에칭 공정 Download PDF

Info

Publication number
KR100530246B1
KR100530246B1 KR10-2000-7005148A KR20007005148A KR100530246B1 KR 100530246 B1 KR100530246 B1 KR 100530246B1 KR 20007005148 A KR20007005148 A KR 20007005148A KR 100530246 B1 KR100530246 B1 KR 100530246B1
Authority
KR
South Korea
Prior art keywords
chamber
etching
gas
cleaning
etch
Prior art date
Application number
KR10-2000-7005148A
Other languages
English (en)
Other versions
KR20010032030A (ko
Inventor
슈에-유 콴
지-웬 선
웨이난 지앙
아더 와이. 첸
제랄드 제야오 윈
밍-선 양
밍-선 쿠오
데이비드 에스. 엘. 뮈
제프리 친
샤워 엑스. 판
씨쿤 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010032030A publication Critical patent/KR20010032030A/ko
Application granted granted Critical
Publication of KR100530246B1 publication Critical patent/KR100530246B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

에칭 챔버(30) 내에서 기판(25)을 에칭하고, 동시에 에칭 챔버(30)의 부품 및 벽(45) 표면상에 증착된 박막의 불균일 에칭 잔류물을 세정하기 위한 방법이 기술돼 있다. 에칭 단계에서, 에천트 가스를 포함하는 처리 가스는 에칭 챔버(30)에서 기판(25)을 에칭하기 위해 이용되며, 이로 인해 에칭 잔류물이 챔버(30) 내에 증착된다. 세정 가스는 처리 가스에 충분한 시간 동안 부가되며, 처리 가스에 의해 증착된 실질적으로 모든 에칭 잔류물과 반응하여 제거시키기에 충분한 유량비로 부가된다. 본 발명에 따른 방법은 에칭 공정중에 별도의 세정, 조절, 및 건조 공정 단계를 거치지 않고, 챔버(30) 내의 에칭 잔류물을 바람직하게 세정한다.

Description

자체 세정가능한 에칭 공정 {SELF-CLEANING ETCH PROCESS}
본 발명은 에칭 챔버 내에서 반도체 기판을 에칭하기 위한 방법, 및 에칭 챔버를 세정하는 방법에 관한 것이다.
집적 회로 제조에 있어, 기판상에 실리콘 이산화물, 실리콘 질화물, 폴리실리콘, 금속 실리사이드, 및 단결정 실리콘은 게이트, 비아(via), 콘택 홀, 트렌치, 및/또는 배선(interconnect) 라인을 형성하기 위해 예정된 패턴으로 에칭된다. 에칭 공정에서, 산화물 또는 질화물 하드 마스크 또는 포토레지스트로 구성된 패턴화된 마스크층이 종래 방법을 이용하여 기판상에 형성된다. 패턴화된 마스크 사이의 기판의 노출된 부분은 용량 결합되거나 또는 유도 결합된 에천트 가스(etchant gas)의 플라즈마에 의해 에칭된다. 에칭 공정 중에, 얇은 중합체 에칭 잔류물은 에칭 챔버 내의 다른 부품 표면 또는 벽 상에 증착된다. 에칭 잔류물의 조성은 에천트 처리 가스의 기화된 종(species)의 조성, 에칭되는 기판 재료, 기판에 도포되는 마스크 또는 레지스트 층에 따라 달라진다. 예를 들어, 텅스텐 실리사이드, 폴리실리콘, 또는 다른 실리콘 함유 층이 에칭될 때, 실리콘 함유 가스는 기판으로부터 기화되거나 또는 스퍼터링되며, 금속 층의 에칭은 금속 종의 기화를 초래한다. 또한, 기판상의 레지스트 또는 마스크 층은 탄화수소 가스 또는 산소 종을 형성하기 위해 에천트 가스에 의해 부분적으로 기화된다. 챔버 내에서 기화된 또는 가스 종은 레지스트로부터 탄화수소 종으로 구성되는 중합체 부산물, 불소, 염소, 산소, 또는 질소 등의 원소 가스, 및 에칭될 기판의 조성에 따라 달라지는 실리콘 또는 금속 종을 형성하기 위해 응축된다. 중합체 부산물은 챔버 내의 부품 및 벽 상의 얇은 에칭 잔류물 층으로 증착된다. 에칭 잔류물 층의 조성은 국부적인 가스 환경의 조성, 가스 유입구 및 배기 포트의 위치, 및 챔버 형상에 따라, 챔버 표면에 걸쳐 상당히 변한다.
에칭 챔버 상에 형성된 가변적인 조성의 비균질 에칭 잔류층은 기판의 오염을 방지하기 위해 주기적으로 세정되어야 한다. 일반적으로, 대략 25개의 웨이퍼가 처리된 이후에, 인슈트(in-situ) 플라즈마 "건식 세정" 공정이 챔버를 세정하기 위해 비어있는 에칭 챔버 내에서 수행된다. 그러나 활성 플라즈마 종은 급속하게 챔버 벽 및 챔버 부품을 부식시키며, 상기 부식된 부품들을 교체하는데는 상당한 비용이 소모된다. 또한, 챔버 표면의 부식은 한 웨이퍼로부터 다른 웨이퍼로의 에칭 공정을 불안정하게 한다. 조성이 가변적인 얇은 에칭 잔류물은 모든 잔류물의 제거시에 인슈트 플라즈마 세정 공정을 중지하기 어렵게 하며, 하부 챔버 표면의 부식을 초래하며, 모든 챔버 표면에 굳어있는 잔류물을 세정하기 어렵게 만든다. 예를 들어, 챔버 유입구 또는 배기 부근에 형성된 에칭 잔류물은 고농도의 레지스트, 하드 마스크, 또는 에칭될 재료를 포함하는 기판 부근에 형성된 에천트 가스 종의 농도 보다 높은 농도를 갖는다.
조성이 서로 다른 에칭 잔류물을 균일하게 에칭하는 세정 플라즈마를 형성하는 것은 어렵다. 따라서, 대략 100 또는 300개의 웨이퍼를 세정한 이후에, 에칭 챔버는 대기 환경에 개방되어 "습식-세정" 공정에서 세정되며, 이때 작업자는 챔버 벽 상에 축적된 에칭 잔류물을 용해시켜 긁어내기 위해 산 또는 용제를 이용한다. 일정한 챔버 표면 특성을 제공하기 위해서는, 습식 세정 단계 이후에, 챔버 표면은 연장된 시간 주기 동안 챔버를 펌핑 다운시키고, 이후 더미 웨이퍼(dummy wafer) 상에 있는 일련의 에칭 공정을 수행함으로써 시즈닝(seasoned)된다. 내부 챔버 표면은 일정한 화학 표면 즉, 화학 그룹의 농도, 형태, 또는 기능성(functionality)에서 변화가 거의 없거나 없는 표면을 구비해야 한다; 그렇지 않으면, 챔버에서 수행되는 에칭 공정은 하나의 기판에서 또다른 기판으로의 에칭 특성이 변경되게 수행된다. 펌프 다운 공정에서, 챔버는 습식 세정 공정 중에 챔버 내에 포함된 수분 및 다른 휘발성 종을 배출시키기 위해 2 내지 3시간 동안 높은 진공 환경으로 유지된다. 그 후, 챔버 내에서 수행되는 에칭 공정은 더미 웨이퍼 상에 있는 10 내지 15분 동안 이어지거나, 또는 챔버가 일정하고 재현가능한 에칭 특성을 제공할 때까지 수행된다.
경쟁적인 반도체 산업에서, 건식 또는 습식 세정, 및 건조 공정 단계 중에 에칭 챔버의 휴지시간으로 인해 야기되는 기판당 증가된 비용은 상당히 바람직하지 못하다. 각각의 건식 세정 공정 단계에 대해 5 내지 10분, 습식 세정 공정을 완료하기 위해 2 내지 3시간이 소요된다. 또한, 습식 세정 및 시즈닝 공정 단계는 때때로 일정하지 못하고 가변적인 에칭 특성을 제공한다. 특히, 습식 세정 공정은 작업자에 의해 수동으로 수행되기 때문에, 한 세션(session)에서 다른 세션까지 때때로 가변하며, 챔버 표면 특성의 편차를 야기시키며 에칭 공정의 재현성을 낮춘다. 따라서, 챔버 표면상에 있는 에칭 잔류물의 증착을 제거 또는 소거시킬 수 있는 에칭 공정을 제공하는 것이 바람직하다.
반도체 제조에서, 텅스텐 실리사이드, 폴리실리콘, 실리콘 질화물, 및 실리콘 이산화물 등의 실리콘 함유 재료 등의 유사 성분의 원소를 갖는 다수의 재료층 에칭시에 또다른 문제점이 발생한다. 도 1a 및 도 1b를 참조로, 반도체 기판(20)상의 전형적인 다중층 폴리사이드 구조물은 도핑된 또는 도핑되지 않은 폴리실리콘층(24) 위에 증착된 금속 실리사이드층(22)을 포함한다. 폴리사이드층은 실리콘 이산화물층(26) 위에 형성되고 에칭되어 에칭된 피쳐(30)를 형성한다. 이들 다중층 구조물에서는 상부 레지스트층(28), 또는 하부 폴리실리콘층(24)에 대해 금속 실리사이드층을 에칭하는 데 있어 높은 에칭 선택비를 얻기 어렵다. 특히, 비평면형 및 고도의 포선형의 형상을 취한 폴리사이드 구조물에 대해 높은 에칭 선택비를 갖는 것이 바람직하며, 에칭된 피쳐 사이의 컨포멀한 금속 실리사이드층(22) 부분은 에칭된 피쳐(도시되지 않음) 상부의 금속 실리사이드층(22) 부분 보다 두껍다. 에칭 공정 동안 소정의 시간에서, 두꺼운 금속 실리사이드층(22)이 계속 에칭되는 동안, 얇은 금속 실리사이드층이 에칭되며 하부 폴리실리콘층의 에칭이 개시된다. 이는 에칭될 폴리실리콘층(24)이 금속 실리사이드층의 에칭 속도에 비해 충분히 느리게 에칭되며, 보다 얇은 금속 실리사이드층 아래의 전체 폴리실리콘층(24)은 보다 두꺼운 부분의 금속 실리사이드층(22)의 에칭이 완료되기 이전에는 에칭되지 않는 것을 요구한다. 따라서, 폴리실리콘층(24)의 에칭 속도에 비해 보다 빨리 금속 실리사이드층(22)을 에칭하는 것이 바람직하다. 도 1c 및 도 1d에 도시된 실시예에서와 같이, 실리콘(36)을 포함하는 기판에 트렌치를 형성하기 이전에, 얇은 실리콘 이산화물층(34) 상에 실리콘 질화물(32) 마스크 층의 에칭시 동일한 문제점이 발생한다. 에칭된 트렌치(38)는 기판상에 형성된 액티브 MOSFET 장치를 절연시키는데 사용된다. 실리콘 이산화물을 기준으로 한 실리콘 질화물 에칭을 위한 에칭 선택비는 매우 높아 실리콘 이산화물층이 에칭되지 않게 그 위에서 에칭이 중지된다.
높은 에칭 선택비는 상당히 상이한 에칭 속도로 상이한 실리콘-함유 재료를 에칭하는 처리 가스 조성물을 사용함으로써 얻어지며, 이는 특정 층과 특정 처리 가스 조성물의 화학적 반응에 따라 좌우된다. 그러나 높은 선택비로 폴리실리콘에 대해 금속 실리사이드층 에칭, 또는 높은 선택비로 실리콘 이산화물층에 대해 실리 질화물층 에칭은 상기 재료가 실리콘 원소를 함유하고 있으며, SiClX 또는 SiFx 가스종을 형성하기 위해 대부분의 종래의 에천트 플라즈마가 실리콘 함유층을 에칭하기 때문에 특히 어렵다. 따라서, 에천트 플라즈마가 폴리실리콘층(24)보다 빨리 금속 실리사이드층(22)을 , 실리콘 이산화물층(34)보다 빨리 실리콘 질화물층(32)을 화학적으로 분별하여 우선적으로 에칭하기는 어렵다. 이러한 문제점은 챔버 측벽 상에 형성된 에천트 잔류물이 또한 실리콘 이산화물을 포함하고 있으며, 폴리사이드 에칭 공정 중에 에천트 잔류물을 제거하기 위한 시도가 이들 층의 에칭 선택비 비율을 하강시키는 결과를 초래하기 때문에, 보다 악화된다.
따라서, 에칭 챔버 내에 에칭 잔류 증착물의 형성을 감소시키는 에칭 공정을 갖는 것이 바람직하다. 또한, 에칭 또는 세정 가스가 챔버 내에 노출된 표면을 부식시키지 않는 것이 바람직하다. 챔버 표면의 표면 작용기 및 화학 반응성을 회복시키는 에칭 또는 세정 공정을 제공하는 것이 바람직하다. 또한, 챔버 세정 공정이 하부 챔버 표면을 과다 부식시키지 않고 가변적인 두께 및 화학양론을 갖는 화학적으로 부착된 에칭 잔류물 층을 제거하는 것이 바람직하다. 더욱이, 에칭 선택비를 희생시키지 않고, 폴리실리콘층상의 텅스텐 실리사이드, 또는 실리콘 이산화물층 상의 실리콘 질화물을 에칭하는 동안 에칭 잔류물을 함유하는 실리콘 이산화물과 같은 다수의 재료층을 에칭함으로써 발생된 에칭 잔류물을 제거하는 에칭 공정을 갖는 것이 바람직하다.
본 발명의 또 다른 특성, 관점, 및 장점은 다음의 도면, 상세한 설명, 및 첨부된 청구범위로부터 보다 쉽게 이해할 수 있을 것이다. 명세서 및 도면이 본 발명의 특성을 예시적으로 설명하고 있으나, 상기 특성들은 단지 예로서 제시되어 있으며, 상기 특성들의 조합이 또한 가능하다.
도 1a는 실리콘 이산화물, 폴리실리콘, 금속 실리사이드, 및 레지스트층을 갖는 기판을 개략적으로 도시한 횡단면도.
도 1b는 금속 실리사이드 및 폴리실리콘층을 에칭한 이후에 도 1a의 기판을 개략적으로 도시한 도면.
도 1c는 실리콘 질화물 마스크층을 갖는 실리콘 또는 폴리실리콘 기판을 개략적으로 도시한 도면.
도 1d는 실리콘 또는 폴리실리콘 내의 트렌치를 에칭한 후에 도 1c의 기판을 개략적으로 도시한 도면.
도 2는 본 발명의 에칭 장치를 개략적으로 도시한 측면도.
도 3은 에칭 챔버의 표면 및 그 부품을 동시에 세정하고 기판을 에칭하기 위해 이용되는 공정 단계의 흐름도.
도 4a 및 도 4b는 챔버를 세정하지 않고 3000개 웨이퍼의 배치(batch)를 처리하기 위해 각각 WSix 및 폴리실리콘 에칭 속도, 및 WSix 대 폴리실리콘 에칭 선택비를 도시한 그래프.
도 5a 및 도 5b 는 챔버를 세정하지 않고 3000개 웨이퍼 배치를 처리하기 위해 각각 폴리실리콘 및 실리콘 이산화물 에칭 속도, 및 폴리실리콘 오버에칭율 안정도를 도시한 그래프.
도 6은 웨이퍼 상에 에칭된 피쳐에 대한 임계 치수의 편차를 도시한 그래프.
도 7a 및 도 7 b는 챔버를 세정하지 않고 3000 웨이퍼 배치를 처리하기 위한 평균 애더(adder) 입자 레벨을 도시한 그래프.
본 발명은 에칭 챔버 내에 있는 기판을 에칭하기 위한 방법을 제공하는 것으로서, 챔버 표면상의 에칭 잔류물을 감소시키거나 완전하게 제거시키며 상당히 일정하고 재현가능한 에칭 성능을 제공한다. 또한, 상기 방법은 기판이 에칭됨과 동시에, 에칭 챔버의 에칭 잔류물을 세정하는 방법에 관한 것이다. 챔버 내에 기판이 위치되며, 기판을 에칭하고 동시에 챔버 표면상에 증착된 에칭 잔류물을 세정하기 위해 챔버 내부로 주입된 처리 가스로부터 플라즈마가 형성된다. 처리 가스는 (i) 기판을 에칭하여 챔버 표면상에 에칭 잔류물을 형성하는 에천트 가스, 및 (ii) 챔버 표면상에 형성된 에칭 잔류물을 세정하기 위한 세정 가스를 포함하며, 세정 가스 대 에천트 가스의 용적 유량 비(volumetric flow ratio)는 에칭 공정이 완료된 직후 에칭 잔류물이 챔버 표면으로부터 완전히 제거되도록 선택된다.
이러한 방법은 기판상에 금속 실리사이드, 실리콘 질화물, 폴리실리콘, 또는 단결정 실리콘을 에칭하고, 동시에 에칭 잔류물을 함유한 실리콘 이산화물의 에칭 챔버를 세정하는데 유용하다. 상기 방법에서, 기판을 에칭하고 에칭 챔버 표면상의 에칭 잔류물을 세정하기 위해 챔버 내부로 주입된 하나 이상의 처리 가스로부터 플라즈마가 발생한다. 처리 가스는 (i) 기판상에 금속 실리사이드, 폴리실리콘, 또는 실리콘을 에칭하여 에칭 챔버 표면에 실리콘 이산화물 에칭 잔류물을 형성하는 에천트 가스, 및 (ii) 에칭 챔버 표면상에 실리콘 이산화물 에칭 잔류물을 제거하기 위한 세정 가스를 포함한다. 세정 가스 대 에천트 가스의 용적 유량비는 에칭 공정이 완료된 직후 챔버 표면으로부터 모든 실리콘 이산화물 에칭 잔류물이 제거하도록 선택된다.
본 발명에 따른 실시예에서, 실리콘 함유 재료(금속 실리사이드, 단결정 실리콘, 다결정 실리콘, 실리콘 질화물 또는 실리콘 산화물)를 포함하는 기판은 에칭 공정의 완료시에 챔버 표면상에 형성된 모든 에칭 잔류물을 실질적으로 제거하기 위해 선택된 용적 유량비로 Cl2, N2, 및 CF4를 포함하는 처리 가스 플라즈마를 이용하여 에칭된다. 바람직하게, Cl2, N2, 및 CF4의 용적 유량비는 챔버를 세정하기 위한 별도의 세정 단계를 수행하지 않은 상태에서, 챔버 내에 적어도 2000개의 기판을 에칭함으로써 발생된 모든 에천트 잔류물을 제거하도록 선택된다. CF4 : (Cl2 + N2)의 용적 유량비의 비는 대략 1:20 내지 1:1이 바람직하다. 또다른 실시예에서, 상기 공정은 Cl2 , N2, SF6 을 포함하는 처리 가스를 이용하며, 바람직하게 SF6 : (Cl2 + N2)의 용적 유량비는 대략 1:20 내지 1:1 이다. 또 다른 실시예에서, 적절한 처리 가스는 Cl2 , N2, NF3 을 포함하며, NF3 : (Cl2 + N2)의 용적 유량비는 대략 1:20 내지 1:1 이다.
상기 방법은 실리콘 이산화물층 위로 증착된 실리콘 질화물층을 에칭하고, 동시에 실리콘 이산화물 함유 에칭 잔류물의 에칭 챔버를 세정하기 위해, 또는 텅스텐 실리사이드 및 폴리실리콘 층으로 구성된 폴리사이드 구조물과 같은 다중층을 포함하는 기판을 에칭하는데 특히 유용하다. 다중층은 다중 스테이지의 공정에서 에칭된다. 제 1 에칭 스테이지에서, 제 1 에천트 가스는 챔버 내부로 주입되며 제 1 층을 에칭하기 위해 제 1 에천트 가스로부터 플라즈마가 발생된다. 제 2 에칭 스테이지에서, 제 2 에천트 가스는 챔버 내부로 주입되며 제 2 층을 에칭하기 위해 제 2 에천트 가스로부터 플라즈마가 발생한다. 하나 이상의 스테이지에서, 세정 가스는 에칭 챔버 표면 상에 형성된 모든 실리콘 이산화물 에칭 잔류물을 모든 에칭 스테이지에서 제거하는 세정 플라즈마를 발생시키기 위해 에천트 가스에 부가된다.
또 다른 실시예에서, 본 발명에 따른 방법은 반도체 기판을 제조하는데 유용하다. 이 방법에서, 기판을 에칭하기 위해 에천트 가스를 포함하는 처리 가스가 챔버 내부로 주입되며 기판을 에칭하기 위해 에천트 가스로부터 플라즈마가 발생된다. 기판이 에칭되는 동안 챔버 표면을 세정하기 위해 세정 가스가 처리 가스에 부가된다. 세정 가스는 충분한 시간 동안 부가되며, 에칭 공정중에 형성되거나 증착된 모든 에칭 잔류물을 제거하도록 세정 가스 대 에천트 가스의 용적 유량비는 충분히 높다.
본 발명에 따라 기판(25)을 에칭하는데 적합한 장치(20)는, 도 2에 개략적으로 도시되어진 바와 같이, 기판을 처리하기 위해 공정 영역을 형성하는 밀폐된 공정 챔버(30)를 포함한다. 로드 락 이송 영역(도시되지 않음)이 기판(25)의 카세트를 고정하기 위해 저압에서 유지된다. 반도체 기판(25)을 처리하는데 적합한 본 명세서에 도시된 장치(20)의 특정 실시예는 본 발명을 도시하기 위해 제공되어진 것으로, 본 발명을 제한하려는 것은 아님을 인지해야 할 것이다. 밀폐된 챔버(30)는 금속, 세라믹, 유리, 중합체, 및 복합 재료 등의 다양한 재료 중의 하나로부터 제조된 바닥 벽(50) 및 측벽(45)을 갖는다. 에칭 챔버(30) 내에 형성된 공정 영역은 기판 바로 위에 위치하고 기판(25)을 둘러싸고 있으며, 적어도 대략 10,000cm3, 보다 바람직하게 대략 10,000 내지 50,000cm3의 체적을 갖는다. 챔버는 편평하거나, 장방형, 아치형, 원추형, 돔-형, 또는 다중 반경의 돔 형상을 취한 실링(55)을 포함한다. 바람직하게, 실링(55)은 플라즈마 공정 영역의 전체 체적에 대해 플라즈마 소스 전력이 균일하게 분배되도록 돔 형상을 취하고 있다. 돔 형상의 실링(55)은 편평한 실링보다 기판 중심(60)으로부터 멀리 있어 편평한 실링보다 기판 표면에 대해 보다 균일한 플라즈마 이온 밀도를 제공하도록 기판(25) 부근에서 해리된 이온 재결합 손실을 감소시킬 수 있다. 돔 형상의 실링(55)은 편평한 돔 형상, 원추형, 절두 원추형, 원통형, 또는 이런 형상의 조합을 취할 수 있고, 기판(25) 위로 돔 형상의 표면을 제공한다.
처리 가스는 가스 유량 제어 밸브(80)를 작동시키는 종래의 컴퓨터 시스템(75)을 포함하는 가스 유량 제어 시스템 및 처리 가스 공급원(70)을 포함하는 가스 분배 시스템(65)을 통해 챔버(30) 내부로 주입된다. 가스 분배 시스템(65)은 (도시된 것과 같이) 기판(25) 외주 부근에 위치된 가스 출구(85), 또는 챔버 내에 출구(도시되지 않음)를 갖는 챔버(30)의 실링 상에 장착된 샤워헤드를 포함할 수 있다. 제 2 가스 공급 시스템(200)은 전자 작동식 밸브(205)를 거쳐 챔버(30)에 세정 가스를 제공한다. 소모된 처리 가스 및 에천트 부산물은 (일반적으로, 1000 liter/sec 러핑(roughing) 펌프를 포함한) 공정 챔버(30)로부터 챔버(30) 내에서 대략 10-3 mTorr의 최소 압력의 달성이 가능한 배기 시스템(90)을 통해 배기된다. 챔버(30) 내에 처리 가스의 압력 및 소모된 처리 가스의 유량을 제어하기 위해 메커니즘(90)에 쓰로틀(throttle) 밸브(95)가 제공된다. 바람직하게, 기판 표면(105) 부근에 보다 대칭적이고 균일한 가스 종의 분포가 제공되도록 챔버(30) 밖으로 가스를 펌핑시키기 위해 비대칭 펌핑 채널(100)이 이용된다.
챔버의 공정 영역 내부로 전계를 결합시키는 플라즈마 발생기(110)를 이용하여 챔버(30) 내부로 주입된 처리 가스로부터 플라즈마가 발생한다. 적합한 플라즈마 발생기(110)는 공정 챔버(30)의 중심을 지나 연장하며 기판(25)의 평면과 수직인 종방향 수직축과 일치하는 중심축과 원형 대칭인 하나 이상의 인덕터 코일로 구성되는 인덕터 안테나(115)를 포함한다. 바람직하게, 인덕터 안테나(115)는 1 내지 10 회의 권선수, 보다 일반적으로 2 내지 6회의 권선수를 갖는 솔레노이드 코일을 포함한다. 솔레노이드 코일의 배열 및 수는 플라즈마에 근접하게 결합하여 강한 유도 플럭스 연계를 제공하도록 실링(55) 부근에 전류 및 안테나 권선의 소정 곱(product)(d/dt)(N·l)을 제공하기 위해 선택되며, 그 결과, 본 명세서에 참고로 기술된, 미국 특허 출원 제 08/648,254호에 기술된 바와 같이 기판(25)에 인접한 플라즈마 영역 내에 보다 큰 플라즈마 이온 밀도를 제공한다. 인덕터 안테나(115)가 돔형 실링(55) 부근에 위치될 때, 챔버(30)의 실링은 구부러진 형상을 제공하기 위해 서로 결합된 실리콘 또는 실리콘 이산화물의 타일 또는 기계가공된 실리콘 이산화물 슬래브와 같은 RF 전계에 투명한 절연 재료를 포함한다. 바람직하게, 공정 챔버(30)의 측벽(45) 부근에 감긴 인덕터 코일(115)은, 이온 밀도가 인덕터 코일(115) 부근의 국부적인 이온화에 의해 영향을 받기 때문에, 기판 중심(60) 바로 위에 증가된 플라즈마 이온 밀도를 제공하는 "편평화된" 돔 형상을 갖는 다중 반경 돔 형상의 인덕터 코일이며, 다중반경 인덕터 코일은 반구형 코일 보다는 기판 중심(60)에 더 근접한다. 또 다른 바람직한 실시예에서, 실링(55)은 적어도 중심 반경(R) 및 코너 반경(r)을 갖는 다중반경 돔을 포함하며, 여기서 r은 중심 반경 R 보다 적으며, R/r은 대략 2 내지 10의 범위를 갖는다.
플라즈마 영역 내에 형성된 플라즈마는 자기 강화 반응기를 이용하여 강화될 수 있으며, 영구 자석 또는 전자석 코일 등의 자계 발생기(도시되지 않음)가 플라즈마의 밀도 및 균일도를 증가시키기 위해 플라즈마 영역 내에 자계가 인가되도록 이용된다. 바람직하게, 자계는 1989년 6월 27일 특허 허여된 미국 특허 제 4,842,683호에 기술된 것과 같이 기판의 평면에 평행한 자계 회전축을 갖는 회전 자계를 포함하며, 상기 특허는 명세서에 참고로 기술되어 있다. 챔버 내의 자계는 플라즈마 내에 형성된 이온의 밀도를 증가시키기 위해 충분히 강해야 하며, CMOS 게이트와 같은 피쳐에 차지-업(charge-up) 손상을 감소시키기 위해 충분히 균일하다. 일반적으로, 기판의 표면상에 있는 측정된 바와 같이 자계는 대략 500 가우스 미만이며, 보다 일반적으로 대략 10 내지 100 가우스, 및 가장 일반적으로 대략 10 내지 30 가우스의 범위를 갖는다.
인덕터 안테나(115) 외에, 하나 이상의 공정 전극이 챔버(30) 내에 플라즈마 이온을 가속화시키거나 활성화시키기 위해 이용될 수 있다. 공정 전극은 챔버의 실링(55) 및/또는 측벽(45)과 같이 공정 챔버(30)의 벽을 형성하는 제 1 전극(120)을 포함한다. 제 1 전극(120)은 기판(25) 아래의 제 2 전극(125)에 용량성 결합된다. 전극 전압 공급원(155)은 서로에 대해 상이한 전위에서 제 1 전극(120) 및 제 2 전극(125)을 유지하는 RF 전위를 공급한다. 인덕터 안테나(115)에 인가된 RF 전압의 주파수는 일반적으로 대략 50 KHz 내지 60 MHz이며, 보다 일반적으로 대략 13.56 MHz이며, 안테나에 인가된 RF 전압의 전력 레벨은 대략 100 내지 5000 와트이다.
밀폐된 챔버(30)는 상이한 기능을 제공하는 하나 이상의 세라믹 표면을 포함한다. 예를 들어, 바람직한 일 실시예에서, 공정 챔버의 벽(45, 50, 55)은 특정 에천트 가스 조성물의 화학적인 부식으로부터 보호되도록 붕소 카바이드, 붕소 질화물, 실리콘, 실리콘 산화물, 실리콘 카바이드, 또는 실리콘 질화물 등의 세라믹 재료로 코팅된다. 예를 들어, 붕소 카바이드는 불소화된 가스 환경에 챔버 측벽(45)을 부식으로부터 보호하는데 유용하다. 또다른 예로서, 사파이어(알루미늄 산화물) 가스 분배판은 챔버(30) 내부로 처리 가스를 방출하는데 이용될 수 있다. 챔버가 세라믹 표면을 포함하는 경우, 에칭 잔류물은 제거가 어려운데, 이는 에칭 잔류물이 알루미늄 산화물, 알루미늄 질화물, 실리콘, 및 실리콘 산화물 세라믹 표면과 같은 챔버(30)의 세라믹 표면(예를 들어, 고 반응성 작용기를 갖는 모놀리식 세라믹 재료(135)의 표면)의 일부와 반응하기 때문이다. 예를 들어, 산소 또는 수분 Al-OH 표면 작용기에 노출될 때 알루미늄 산화물 또는 질화물 표면은 에칭 잔류물과 화학적으로 반응하여 챔버 표면 또는 부품상에 경질의 부착성 코팅물을 형성한다.
공정 챔버(30) 내에서 유용한 다른 세라믹 표면은 기판(25)을 수용하기 위해 세라믹 수용면(140)을 갖는 모놀리식 세라믹 부재(135)이다. 적합한 세라믹 재료는 하나 이상의 알루미늄 산화물, 알루미늄 질화물, 붕소 카바이드, 붕소 질화물, 실리콘, 실리콘 산화물, 실리콘 카바이드, 실리콘 질화물, 및 티타늄 산화물을 포함한다. 제 2 전극(125)은 세라믹 전극을 세라믹 재료가 완전히 둘러싸도록 세라믹 부재(135) 내에 삽입되어 단일의 모놀리식 세라믹 부재를 형성한다. 제 2 전극(125)은 텅스텐, 탄탈, 또는 몰리브데늄과 같은 전기적 전도성 금속으로부터 제조된다. 삽입된 제 2 전극(125)은 "핫(hot)" RF 전위가 인가된 도전체이며, 챔버(30)내의 다른 전극은 제 2 전극(125)에 대해 전기 접지 또는 플로팅 전위를 포함하는 상이한 전위에서 유지된다. 단일의 세라믹 부재(135) 내에 삽입됨으로써, 제 2 전극(125)은 추가의 절연 차폐물에 의해 챔버(30)로부터 전기적으로 절연될 필요가 없어, 그 결과 제 2 전극(125)과 접지된 챔버 벽(45, 50) 사이에서 야기되는 챔버(30)내에 기생 캐패시턴스 임피던스 부하가 감소된다. 제 2 전극(125)은 제 2 전극(125)에 접속되도록 세라믹 부재(135)를 통해 삽입된 전기 전체(150)를 통해 인가된 DC 척킹 전압을 이용하여 세라믹 부재(135)의 수용면(140)에 기판(25)을 정전기적으로 보유하기 위한 정전기 전하를 발생시키는 정전기 척(145)으로 작용한다.
제 1 및 제 2 전극(120,125)은 제 2 전극(125)에 RF 전압을 발생시키는 플라즈마를 제공하기 위한 AC 전압 공급원(160), 및 전극(125)에 척킹 전압을 제공하기 위한 DC 전압 공급원(165)을 포함하는 전극 전압 공급원(155)에 의해 서로에 대해 전기적으로 바이어스된다. AC 전압 공급원(160)은 챔버(30) 내에 용량성 결합된 플라즈마를 형성하기 위해 13.56 MHz 내지 400 KHz의 하나 이상의 주파수를 갖는 RF 발생 전압을 제공한다. 전극(125)에 인가된 RF 바이어스 전류의 전력 레벨은 대략 50 내지 3000 와트이다. 척(145)에 기판(25)을 보유하는 정전하를 형성하기 위해 전극(125)에 개별 DC 전압이 인가된다. 전극(125)에 DC 척킹 전력을 제공하기 위해 전기 필터 및 브리지 회로에 RF 전력이 결합된다.
에칭 및 세정 공정
기판(25)상에 있는 하나 이상의 층을 에칭하고 동시에 에칭 챔버를 세정하기 위한 에칭 챔버(30)의 작동이 지금부터 설명될 것이다. 기판(25)은 예를 들어 MOS 트랜지스터용 게이트 산화물층으로 기능을 하는 실리콘 이산화물 하부층 및 텅스텐 실리사이드와 하부 폴리실리콘층의 조합인 폴리사이드 상부층을 포함하는 다수의 층을 갖는 실리콘 또는 갈륨 비소 웨이퍼와 같이 반도체 재료를 포함한다. 기판(25) 상의 금속 실리사이드층은 예를 들어, 텅스텐, 탄탈, 티타늄, 또는 몰리브데늄 실리사이드를 포함한다. 폴리실리콘층은 금속 실리사이드층 아래에, 그리고 실리콘 이산화물층 위에 놓여져 있다. 포토레지스트와 같이 패턴화된 마스크층, 또는 실리콘 이산화물 또는 실리콘 질화물 하드 마스크가 기판(25) 상에 형성된다. 마스크층 사이의 기판의 노출 부분은 MOS 트랜지스터용 게이트 전극을 제조하기 위한 콘택 홀; 통상적으로 게이트 전극으로 이용되는 폴리사이드 배선 피쳐; 및 실리콘 산화물/질화물층을 절연시킴으로써 분리된 두 개 이상의 전기적 도전층을 전기적으로 접속하는데 이용되는 다중층 금속 구조물인 비아와 같은, 피쳐를 형성하도록 에칭된다. 또다른 실시예에서, 기판은 실리콘(도핑되거나 또는 도핑되지 않은) 기판상에 실리콘 질화물층 또는 실리콘 산화물층을 포함한다.
본 발명의 공정을 수행하기 위해서는, 기판(25)은 로봇 아암(170)을 이용하여 로드 락 이송 챔버로부터 슬릿 밸브를 통해 챔버(30)로 이송된다. 리프트 핑거 어셈블리(도시되지 않음)는 기판(25)을 척(145)으로부터 상승시키거나 수용하기 위해 척(145) 내의 리프트 핑거 개구를 통해 연장하는 리프트 핑거를 갖추고 있다. 로봇 아암(170)은 기판(25)을 척(145)의 표면 위로 대략 2 내지 5 cm로 연장시키기 위해 공압식 리프트 메커니즘(180)에 의해 상승된 리프트 핑거(도시되지 않음)의 팁부 상에 위치시킨다. 컴퓨터 시스템의 제어하에서 공압식 메커니즘은 기판(25)을 정전기 척(145) 상으로 하강시키며, 기판(25)의 온도를 제어하기 위해 척 내의 개구부(175)를 통해 헬륨이 공급된다.
기판을 에칭하기 위한 에천트 가스를 포함하는 처리 가스는 가스 출구(85)를 통해 챔버(30) 내부로 주입되며, 챔버는 일반적으로 0.1 내지 400 mTorr의 범위의 압력에서 유지된다. 기판(25)상의 층을 에칭하기에 적합한 에천트 가스는 예를 들어, HCl, BCl3, HBr, Br2, Cl2, CCl4, SiCl4, SF6, F, NF3, HF, CF3, CF4, CH3F, CHF3, C2H2F2, C2H4F6, C2F6, C3F8, C4F8, C2HF5, C4F10, CF2Cl2, CFCl3, O2, N2, He 및 이들의 혼합물을 포함한다. 에천트 가스는 높은 에칭 속도를 제공하고, 에칭될 특정층의 높은 에칭 선택성을 제공하도록 선택된다. 다중층은 연속적으로 에칭되며, 제 1, 제 2, 제 3, 등의 에천트 가스 조성은 각각의 특정 층을 에칭하기 위해 챔버 내부로 연속적으로 주입된다. 도 2를 참조하면, 챔버(30) 내부로 유도적 및/또는 용량성 결합 에너지에 의해 플라즈마 발생기(110)를 이용하여 에천트 가스로부터 플라즈마가 활성화된다. 예를 들어, 플라즈마는 챔버(30)를 둘러싼 인덕터 안테나(115)에 RF 소스 전류를 인가하고, 전극(120,125)에 RF 바이어스 전압을 인가함으로써 형성될 수 있다. 에천트 가스는 챔버(30)로부터 배기된 휘발성 가스종을 형성하여 기판(25) 상에 있는 층을 에칭하는 이온 및 중성을 형성하기 위해 인가된 전계 내에서 이온화된다.
본 공정은 기판(25) 상에 있는 하나 이상의 층의 에칭과 동시에 에칭 공정이 수행되는 플라즈마 에칭 챔버(30)의 세정이, 에칭 공정 중단 없이 수행할 수 있다. 하나 이상의 에칭 공정 단계에서, 세정 가스는 임의의 에칭 단계에서 형성된 에칭 잔류물, 또는 모든 에칭 단계에서 형성된 잔류물이 에칭 공정 동안에 실질적으로 전체 제거되도록 선택된 용적 비율로 에천트 가스에 부가된다. 바람직하게, 에천트 가스는 하나 이상의 Cl2, N2, O2, HBr, 또는 He-O2를 포함하며, 세정 가스는 하나 이상의 NF3, CF4, 또는 SF6을 포함한다. 본 발명자는 상기 가스들의 조합이 특이하고 예상하지 못한 에칭 및 세정 특성을 제공함을 발견하였다.
세정 가스 대 에천트 가스의 용적 유량비는 기판 처리과정 사이에 챔버(30)를 세정하기 위한 별도의 세정 단계를 수행하지 않은 상태에서, 적어도 2000개의 기판(25), 보다 바람직하게 적어도 3000개의 기판 처리로부터 발생된 에천트 잔류물을 제거하기 위해 선택된다. 세정 가스 대 에천트 가스의 적합한 용적 유량비는 대략 1:20 내지 대략 1:1 이며, 보다 바람직하게는 대략 1:10 내지 대략 2:3 이며, 가장 바람직하게는 대략 2:3이다. 세정 가스 대 에천트 가스의 용적 유량비, 실질적으로 챔버 표면(45,50,55) 상의 모든 에천트 잔류물은 챔버 표면을 부식시키지 않고 제거된다. 또한, 챔버 표면이 별도의 챔버 조절 또는 시즈닝 단계를 필요로 하지 않고, 에천트 및 세정 가스 조합 단계에 의해 세정되고 조절된다는 것이 예상치 않게 발견되었다. 기판(25)의 에칭 및 동시에 챔버(30)내의 표면으로부터 에칭 잔류물을 제거하는 상승작용(synergism)은 (별도의 세정 또는 조절 단계를 요구하지 않고 또는 에칭 공정을 종결하지 않고) 기판에서 기판으로부터 개선된 공정 안정성, 및 증가된 공정 산출량을 제공한다.
본 발명의 일실시예에서, 금속 실리사이드 또는 실리콘(즉, 다결정 또는 단결정 실리콘)을 포함하는 기판(25)은 에칭 공정의 완료시에 챔버 표면 상에 형성된 거의 모든 에칭 잔류물을 제거하도록 선택된 용적 유량비로 Cl2, N2, 및 CF4, 및 선택적으로 O2를 포함하는 처리 가스 플라즈마를 이용하여 에칭된다. 바람직하게, Cl2, N2, 및 CF4 의 용적 유량비는 챔버를 세정하기 위한 별도의 세정 단계를 수행하지 않은 상태에서, 챔버(30)내에서 적어도 2000개의 기판(25)을 에칭함으로써 발생된 모든 에칭 잔류물을 제거하도록 선택된다. CF4 : (Cl2 + N2) 의 용적 유량비의 비율은 보다 바람직하게 약1:20 내지 약 1:1이다. 또다른 실시예에서, 공정은 Cl2, N2, SF6를 포함하는 처리 가스를 이용하며, 바람직하게 SF6 :(Cl2 + N2)의 용적 유량비는 대략 1:20 내지 대략 1:1이다. 또다른 바람직한 실시예에서, 적절한 처리 가스는 Cl2, N2, 및 NF3 를 포함한다. 바람직하게, NF3 : (Cl2 + N2) 의 용적 유량비는 대략 1:20 내지 대략 1:1이다.
세정 가스는 챔버 표면을 처리하고 조절하기 위해 단시간 동안 에칭 챔버(30)에 주입되며, 기판(25)은 에천트 가스에 의해 에칭된다. 에천트 가스를 포함하는 처리 가스가 챔버(30)로 주입되며, 처리 가스의 조성은 기판 상에 하나 이상의 상이한 재료층을 에칭하기 위해 소정의 에천트 가스 조성에 따라 조절된다. 에칭 공정 중에, 세정 가스가 처리 가스에 부가되며, 기판이 에칭된다. 예를 들어, (폴리실리콘층의 메인 에칭 동안에) 세정 가스는 폴리실리콘층을 에칭하기 위해 이용되는 에천트 가스에 부가되며 폴리실리콘 오버에칭 단계 이전에 중단된다. 활성화된 세정 가스는 챔버 표면에 화학적으로 부착되기 이전에 새롭게 증착된 에칭 잔류물을 제거하는 다수의 높은 반응성 세정 종을 제공한다. 또한, 제 1 에천트 가스 조성은 화학적으로 연성의 반응 조성을 갖는 챔버 표면에 약하게 부착되는 제 1 에칭 잔류물을 발생시킬 수 있다. 그러나 제 2 에천트 가스가 챔버 내에 주입될 때, 제 2 에천트 가스는 제 1 에칭 잔류물과 반응할 수 있으며 화학적으로 단단하게 부착되는 잔류물을 생성한다. 이러한 공정에서, 세정가스는 또다른 화학 가스 조성에 노출됨으로써 화학적으로 변경되기 이전에 새롭게 발생된 에칭 잔류물을 제거하기 위해, 에칭 공정의 제 1 단계 동안에 챔버로 주입된다. 챔버(30)로부터 세정 가스의 신속한 제거 및 높은 유량비는 챔버 표면 및 부품을 부식시키는 다른 화학종을 형성하기 위해 세정 가스의 결합 가능성을 감소시킨다. 이러한 메커니즘은 챔버(30)로부터 반응 부산물이 흘러져 나오는 가스의 높은 유량비에 의해 보다 촉진된다. 바람직하게, 활성화된 세정 가스는 벽(45, 50, 55) 및 챔버(30) 내의 부품을 부식시키지 않고, 에칭 잔류물을 세정하기 위해 대략 5 내지 100초의 시간 동안 대략 40,000 cm3 의 용적을 갖는 에칭 챔버(30)에 대한 적어도 약 40 내지 약 200 sccm의 비율과 동일한 유량비 FR로 에칭 챔버로 주입된다.
처리가 완료된 후에, 기판(25)은 적절한 디척킹 수단에 의해 척과 분리된다. 공압식 리프팅 장치(180)는 기판을 리프트 핀 위로 상승시키기 위해 기판(25)과 척 사이에 삽입된 로봇식 이송 아암 및 기판(25)을 상승시키기 위해 정전기 척(145)을 통해 리프트 핀을 상승시킨다. 그리고 나서, 리프트 핀은 척(145) 속으로 수축되고, 로봇식 아암은 제 1 기판(25)을 에칭 챔버(30)로부터 진공 환경이 유지된 이송 챔버로 전달된다.
다중 에칭 스테이지
본 발명에 따른 공정의 또 다른 실시예에서, 기판 상에 있는 다중층을 에칭하기 위해 다중 스테이지가 이용되며, 도 3에 도시되어진 바와 같이, 하나 이상의 다중 에칭 단계에서 챔버 표면상에 증착된 에칭 잔류물을 제거하기 위해 스테이지 중 적어도 하나의 스테이지에 세정 가스가 주입된다. 이러한 공정은 예를 들어, 텅스텐 실리사이드와 폴리실리콘층의 다중층을 포함하는 폴리사이드 구조물의 에칭 또는 실리콘 웨이퍼 상에 있는 실리콘 질화물층 또는 실리콘 이산화물층을 에칭하는데 유용하다. 본 발명에 따른 공정이 이러한 층들의 에칭에 대해서 기술되어 있으나, 상기 공정들은 금속층 및 실리콘 이산화물 층 등의 다른 층들을 에칭하는데 이용될 수 있음을 이해할 수 있을 것이다. 따라서, 본 발명에 다른 공정은 상기 실시예들로 제한되지 않으며 본 명세서에 제공된 방법은 극히 예시적이다.
다중 스테이지 공정은 다수의 에칭 스테이지를 포함한다. 제 1 에칭 스테이지에서, 제 1 에천트 가스가 공정 챔버(30) 내부로 주입되며, 기판(25) 상에 있는 제 1 층(예를 들어, 텅스텐 실리사이드층)을 에칭하기 위해 제 1 에천트 가스로부터 플라즈마가 형성된다. 제 1 또는 텅스텐 실리사이드층은 일반적으로 염소-함유 가스, 예를 들어 염소 및 질소, 및 선택적으로 산소 혼합물을 포함하는 제 1 에천트 가스 처리 가스를 이용하여 에칭된다. 바람직하게, 염소 대 질소의 용적 유량비는 대략 1:3 내지 대략 1:1이며, 보다 바람직하게는 대략 2:3 이다. 챔버(30) 내의 압력은 1 내지 10 Torr에서 유지되며, 인덕터 코일 공급 전력 레벨은 200 내지 800 와트에서 유지되며, 공정 전극 RF 바이어스 전력 레벨은 20 내지 120와트에서 유지된다.
제 2 에칭 스테이지에서, 제 2 에천트 가스가 챔버(30) 내부로 주입되며, 제 1 층의 에칭이 완료된 이후에 제 2 층(예를 들어, 텅스텐 실리사이드층 아래에 폴리실리콘 층)을 에칭하기 위해 플라즈마가 형성된다. 제 2 또는 폴리실리콘층은 하나 이상의 에칭 공정 단계에서 에칭될 수 있으며, 에천트 가스 조성은 각각의 에칭 단계 동안 변경될 수 있다. 적합한 제 2 에천트 가스 조성은 20 내지 120 sccm의 유량비에서 Cl2, 대략 80 내지 240 sccm에서 HBr, 및 대략 2 내지 10 sccm에서 He-O2 를 포함한다. 챔버 압력은 대략 25 내지 200 mTorr이다. 인덕터 안테나(115)에 인가된 공급 전류의 전력 레벨은 대략 200 내지 800 와트 범위이고, 공정 전극(120,125)에 인가된 전압의 바이어스 RF 전력 레벨은 대략 20 내지 120 와트의 범위이다.
하나 이상의 제 1 및 제 2 스테이지에서, 임의의 스테이지 내에 형성된 에칭 잔류물이 에칭 공정 중에 완전히 제거되도록 선택된 용적 유량비로 에천트 가스에 세정 가스가 부가된다. 전형적으로 제 1 및 제 2 스테이지 내에 형성된 에칭 잔류물은 할로겐, 탄소, 수소, 및 산소를 함유한 중합체 유기 화합물을 포함한다. 실리콘 함유층이 에칭될 때, 에천트 잔류물은 기판(25) 상에 텅스텐 실리사이드 및 폴리실리콘 층의 에칭 동안에 주로 형성된 실리콘 이산화물 화합물을 포함한다. 그러나 에칭 잔류물의 조성은 제 1 층으로부터 제 2 층으로 변경될 수 있으며, 제 1 에칭 잔류물은 W 및 Si종으로 구성되며, 제 2 에칭 잔류물은 Si 및 O종으로 구성된다. 따라서, 텅스텐 실리사이드 층이 에칭된 제 1 에칭 단계에서 형성된 제 1 에칭 잔류물, 및 폴리실리콘 층이 에칭된 제 2 에칭 스테이지 중에 형성된 제 2 에칭 잔류물을 제거하기에 적합한 용적 비로 제 1 또는 제 2 스테이지 내의 처리 가스에 세정 가스가 부가된다. 세정 가스 대 에천트 가스의 적합한 용적 용량비는 대략 1:10 내지 대략 2:3임을 발견하였다. 예를 들어, 대략 80 sccm Cl2 및 40 sccm N2의 제 1 에천트 가스의 유량비에 대략 2:3의 세정 가스 대 제 1 에천트 가스의 용적 비를 제공하기 위해, 80 sccm의 유량의 CF4를 포함하는 세정 가스가 부가된다. 세정 가스 대 에천트 가스의 용적 유량비에서, (에칭 공정의 상이한 스테이지 중에 형성된) 챔버 표면상의 모든 에천트 잔류물은 챔버 표면을 부식시키지 않고 제거된다. 또한, 챔버 표면이 별도의 시즈닝 또는 조절 공정 단계를 필요로 하지 않고, 에천트 및 세정 가스에 의해 세정되고 조절된다는 것이 예상치 못하게 발견하였다.
본 발명의 챔버 처리 공정은 에칭 공정의 수행 동안 에칭 잔류물의 두께 또는 화학 조성과는 관계없이, 에칭 잔류물을 균일하게 제거시킨다는 것이 밝혀졌다. 종래 기술의 세정 공정 특히, 작업자에 의해 수행된 공정은 때때로 챔버 표면상에 형성된 에칭 잔류물 증착물을 균일하게 세정하고 제거하지 못한다. 챔버 표면에서 쌓인 에천트 증착물은 챔버 내에서 에칭된 기판(25)의 에천트 증착물 및 오염물을 벗겨낸다. 거의 모든 챔버 표면에 대해 형성된 에칭 잔류물을 균일하게 제거함으로써, 이러한 오염인자 및 최종적으로 기판(25) 수율 감소가 실질적으로 최소화된다.
세정 가스는 종래 인슈트 플라즈마 세정 단계와 비교하여, 챔버에 부식 손상을 덜 초래하는데, 이는 에칭 챔버 내에 플라즈마의 감소된 에너지 레벨로 인해서이다. 이는 종래 기술 공정으로는 달성하기 어려우며, 잔류 증착물을 제거하기 위해 이용되는 고 전력 플라즈마는 챔버 표면 및 부품의 광범위한 부식을 초래한다. 챔버 부품을 교체해야 하는 필요성을 감소시킴으로써, 챔버(30) 작동 비용 및 기판(25)당 비용이 상당히 감소된다. 더욱이, 챔버 벽 및 부품을 습식 세정하기 위해 공정을 중단하기보다는, 기판(25)을 에칭하는 동안 인슈트로 챔버(30)를 효과적으로 세정하기 위해 활성화된 세정 가스가 이용될 수 있으며, 이로 인해 에칭 처리량 증가 및 기판 당 비용을 보다 감소시킨다. 세정 공정은 적어도 2개의 요인에 의해 챔버 수명을 증가시키고 기판상에 있는 벗겨진 에천트 부산물의 증착을 감소시킴으로써 기판 수율을 증가시킬 것으로 예상된다.
세정 가스는 챔버(30)내의 표면으로부터 화학적으로 부착된 에천트 증착물을 제거하며, 세정 공정 이후에 챔버 조절 공정을 필요로 하지 않고 원래의 화학 반응성 및 상기 표면의 표면 작용기를 회복시킨다. 세정 및 처리 공정은 챔버 표면과 화학적으로 반응하여 강하게 부착되는 에칭 잔류물을 세정하는데 특히 유용하다. 세정 가스는 에칭 공정과 화학적으로 양립하는 표면 화학특성 및 표면 작용기를 제공하기 위해 세라믹 표면을 처리하고 재조절하는데 효과적이다. 조절된 세라믹 표면은 습식 세정 또는 RIE 세정 공정 등의 대안의 챔버 세정 공정에 의해 제공된 것보다 챔버(30) 내에 보다 재현가능한 에칭 특성을 제공한다. 챔버(30) 내에서 수행된 에칭 공정의 개선된 재현성은 상당히 바람직하다.
실시예 1
챔버를 세정하지 않고 3000개의 웨이퍼의 연속 에칭
다음의 실시예는 본 발명에 따른 에칭 및 세정 공정의 효과를 도시하고 있다. 상기 실시예에서, 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼스로부터 구입가능한 실리콘 DPS 공정 챔버는 기판상에 폴리사이드 층을 에칭하기 위해 이용된다. 상기 실시예에서, 기판은 3000Å의 폴리실리콘 및 3000Å의 WSiX 로 구성되는 폴리사이드 층으로 덮여진 1000Å의 SiO2 하부층으로 구성되는 실리콘 웨이퍼를 포함한다. 3000개 이상의 웨이퍼가 에칭 챔버(30) 내에 연속적으로 에칭되며, 피쳐의 에칭된 특성, 에칭 속도, 및 에칭 선택비가 때때로 측정된다.
텅스텐 실리사이드 에칭 공정은 80 sccm의 Cl2 및 40 sccm의 N2 로 구성되는 제 1 에천트 가스의 유량비를 이용한다. 제 1 에천트 가스에 80 sccm의 유량비의 CF4 로 구성되는 세정가스가 부가되며, 대략 2:3의 세정 가스 대 에천트 가스의 용적 유량비가 제공된다. 챔버 내의 압력은 4 mTorr에서 유지되며, 인덕터 코일 소스 전력 레벨은 600 와트에서 유지되며 공정 전극 RF 바이어스 전력 레벨은 60 와트에서 유지된다.
기판(25) 상의 폴리실리콘층은, 기판상의 실리콘 이산화물 하부층을 에칭하지 않고 에칭 공정을 중지하기 위해, 메인 에칭 스테이지 및 "오버에칭(overetch)" 스테이지를 포함하는 두 스테이지에서 에칭된다. 메인 에칭 스테이지는 폴리실리콘층이 완전히 에칭되기 직전에 중지되며, 오버에칭 스테이지는 폴리실리콘층의 잔류 부분이 에칭되도록 수행된다. 일반적으로, 에천트 가스의 할로겐 함량은 보다 느리며 보다 제어가능한 에칭 속도를 얻기 위해 오버에칭 스테이지에서 감소된다. 예를 들어, 메인 에칭 스테이지에서, 폴리실리콘층은 60 sccm의 Cl2 , 140 sccm의 HBr, 5 sccm의 He-O2를 포함하는 에천트 가스를 사용하여 에칭된다; 인덕터 안테나(115)에 인가된 소스 전류는 480와트이며, 공정 전극(120,125)에 인가된 전압의 바이어스 RF 전력 레벨은 64와트이다. 오버에칭 공정 스테이지에서, 에천트 가스는 90 sccm의 HBr, 5 sccm의 He-O2를 포함하며, 챔버 압력은 50 mTorr, 소스 전력 레벨은 400와트이며, RF 바이어스 전력 레벨은 140와트이다.
한가지 놀라운 발견은 에천트 가스 및 세정 가스의 조합이 공정의 제 1 스테이지에서 폴리실리콘에 대해 텅스텐 실리사이드 에칭을 위해, 높은 에칭 속도 및 에칭 선택비를 제공한다는 것이다. 도 4a는 3000개의 웨이퍼에 대한 텅스텐 실리사이드 및 폴리사이드 에칭 속도가 에칭 챔버 내에서 연속적으로 처리됨을 도시하고 있다. 종래 기술의 에칭 공정은 200 내지 300개의 웨이퍼의 처리 이후에 챔버의 세정 및 조절을 필요로 하는데, 이는 다수의 웨이퍼의 처리 이후에 챔버 표면상에 에칭 잔류 증착물로 인해 높은 입자 오염 레벨 및 에칭 속도 및 에칭 선택비의 편차 때문이다. 반대로, 본 발명에 따른 에칭 및 그와 동시의 세정 공정은 챔버(25)를 세정하지 않고 3000개의 웨이퍼를 연속적으로 에칭하는 동안에, 3000 Å/min의 일정하게 높고 균일한 텅스텐 실리사이드 에칭 속도 및 대략 1.7%의 에칭 속도의 편차를 제공한다. 유사하게, 폴리실리콘 에칭 속도는 대략 2350 Å/min의 높은 속도로 유지된다.
에천트 가스 및 세정 가스는 3000개 이상의 웨이퍼 처리를 위해 텅스텐 실리사이드 및 폴리실리콘을 에칭하는데 균일하고 일정한 에칭 속도가 제공됨을 발견하였다. 텅스텐 실리사이드 및 폴리실리콘 에칭 속도 균일도가 3000개의 웨이퍼 공정 사이클 중에 측정되었다. 텅스텐 실리사이드 에칭 속도는 8% 미만으로 가변되며 폴리실리콘 에칭 속도는 2% 미만으로 가변된다. 또한, 에천트 및 세정 가스는 폴리실리콘에 대해 텅스텐 실리사이드를 에칭하기 위해 1.2의 에칭 선택비를 제공하는 것으로 발견되었으며, 이는 일반적으로 0.6 내지 0.8의 범위를 갖는 종래 기술 폴리사이드 에칭 공정의 에칭 선택비보다 적어도 50% 높다. 예를 들어, 도 4b는 텅스텐 실리사이드 대 폴리실리콘의 평균 에칭 선택비가 3000개의 웨이퍼 배치에 대해 대략 1.3:1임을 나타내고 있다. 또한, 에칭 선택비는 모든 3000개의 웨이퍼의 에칭을 위해 웨이퍼로부터 웨이퍼까지 대략 0.02로 가변된다.
더욱이, 상당히 높은 폴리실리콘 대 실리콘 이산화물 에칭 속도 및 에칭 선택비가 얻어지며, 또한 제 2 단계 공정중에 폴리실리콘 에칭 속도는 3000개의 웨이퍼의 에칭에 대해 비교적 균일함을 발견하였다. 도 5a에 도시된 것과 같이, 2204Å/min의 폴리실리콘 에칭 속도가 얻어지며, 168Å/min의 극히 낮은 실리콘 이산화물 에칭 속도가 얻어진다. 이러한 결과는 폴리실리콘 대 실리콘 산화물 에칭 선택비가 13:1이며, 이는 하부 실리콘 이산화물층이 상부의 폴리실리콘층을 에칭하는 동안 전혀 에칭되지 않았음을 의미한다. 이러한 높은 에칭 선택비는 하부의 전기 절연 실리콘 이산화물층의 오버에칭 및 돌파(breakthrough)를 방지하기에 상당히 바람직하다. 도 5b는 기판상의 폴리실리콘층을 에칭하기 위한 에칭 속도의 안정도 및 균일도를 나타내고 있다. 1855Å/min의 폴리실리콘 오버에칭 속도가 얻어지며, 폴리실리콘 오버에칭 속도의 균일도는 전체 3000개의 웨이퍼 배치 공정 동안 6% 미만이 된다.
웨이퍼 상에 에칭된 피쳐에 대한 임계 치수의 편차가 측정되어, 도 6에 도시되어 있다. 종래의 에칭 공정은 가끔 집적회로의 설계에서 에칭된 피쳐의 전기적인 특성을 측정하기 위해 이용되는 에칭된 피쳐의 미리 정해진 원하는 치수인 임계 치수를 유지하지 못한다. 보다 새로운 집적회로에서, 상호연결 라인의 라인 폭 및 접촉 플러그의 직경은 보다 높은 집적도를 달성하기 위해 점차 0.25 마이크론 이하가 된다. 이러한 피쳐의 전기 저항성이 에칭된 피쳐의 횡단면적과 비례함으로 인해, 피쳐의 편차없이 일정하고 균일한 치수를 유지하는 것이 중요하다. 피쳐의 공간에 따라 변하는 테이퍼링 단면 및 단면 프로파일은 집적 회로 내에서 더 이상 허용되지 않는다.
임계 치수는 일반적으로 저항 피쳐의 폭(Wr) 및 에칭된 피쳐의 폭(We) 사이의 비 또는 차이로 측정된다. 에칭된 피쳐의 폭 대 저항 피쳐의 폭이 보다 근접하게 되면, 에칭된 피쳐의 전기적 특성이 보다 신뢰성 있게 된다. 본 발명에 따른 실시예에서, 도 6에 도시된 것과 같이, (근접 이격된 에칭된 피쳐를 갖는) 기판의 조밀하게 패키지된 피쳐 부분은 대략 0.4 마이크론의 임계 치수, 대략 0.04 마이크론의 임계 치수 바이어스를 나타낸다. 웨이퍼로부터 웨이퍼까지 임계 치수의 3σ 표준 편차 레벨은 대략 0.009 마이크론으로서, 극히 낮으며 기판에 대해 균일하고 일정한 에칭 특성을 나타낸다.
챔버(30)내에서 3000개 이상의 웨이퍼를 에칭하는 동안, 챔버 표면상에 형성된 에칭 잔류물로부터 벗겨 떨어지는 오염물은 25개 기판(25)의 랏(lot) 각각의 에칭이 완료된 이후에 예정된 시간 간격에서 측정된다. 입자 오염 레벨은 3mm 엣지를 제외하고 TENCOR Surfscan 6200을 이용하여 측정된다. 도 7a는 80sccm의 CF4, 80sccm의 Cl2, 40 sccm의 N2 를 포함하는 처리 가스가 챔버(30)로 흐를 때 오염물에 대한 평균 개수를 도시하고 있다. 오염물은 평균 대략 8.8개이며, 종래의 에칭 공정에서 얻어진 대략 60개의 종래 기술의 애더(Adder) 수보다 5 내지 6배 적은 수치이다. 도 7b는 80 sccm의 CF4, 80 sccm의 Cl2, 40 sccm의 N2 를 포함하는 처리 가스의 플라즈마에 대한 애더 오염물 레벨을 도시하고 있으며, 평균적으로 5.7개가 얻어지며, 이는 종래 기술보다 10배 적다. 애더 입자 수치에 부가적으로, 에칭 챔버 표면은 에천트 잔류 증착물에 대해 육안으로 검사된다. 3000개의 웨이퍼의 에칭 이후에도, 챔버 표면은 챔버 표면상에 남아있는 에칭 잔류물 없이 원래대로 깨끗하다. 또한, 건식 또는 습식 세정 공정은 챔버 벽의 세정, 시즈닝, 또는 조절을 필요로 하지 않으며, 3000개의 웨이퍼의 에칭 이후에도, 챔버를 세정하지 않고 종래 기술의 공정에서 에칭된 것보다 대략 3 내지 10배의 웨이퍼를 처리할 수 있다.
실시예 2 내지 7
높은 에칭 선택비 및 에칭 속도의 비교 실시예
상기 실시예에서, SiO2, 폴리실리콘, WSix, 및 레지스트를 갖는 실리콘 웨이퍼는 CF4의 세정 가스를 추가로 이용하거나 또는 이용하지 않고, Cl2-He-O2 가스 혼합물을 이용하여 에칭된다. 표 1은 기본 공정 및 CF4 추가 공정에 이용되는 공정 조건을 에칭 선택비, WSix, 및 폴리실리콘 에칭 속도에 대해 측정된 결과와 함께, 도시하고 있다. 모든 실시예에서, 에천트 가스는 100 sccm의 Cl2를 포함한다. 표 1에 도시된 추가의 에천트 및 세정 가스는 He-O2, 및 선택적으로 CF4를 포함한다. 챔버 내의 압력은 4 또는 9 mTorr 에서 유지되며, 소스 전력 레벨은 300 또는 500와트에서 유지되며, 공정 전극 RF 바이어스 전력 레벨은 60 와트에서 유지되며, 기판의 온도는 50℃에서 유지된다. 에칭된 피쳐의 특성, 에칭 속도, 및 에칭 선택비는 종래의 SEM 방법을 이용하여 측정된다.
표 1
HeO2 CF4 P Ws 저항 선택비 Wsi 대 폴리실리콘 선택비 Wsi 에칭 균일도(%) 폴리실리콘 에칭 균일도(%)
5 0 9 500 0.90 1.09 3.09 3.02
5 20 9 500 1.17 1.25 2.87 2.20
5 40 9 300 1.08 1.19 3.19 2.59
5 20 4 500 1.08 1.33 2.05 3.19
0 0 9 500 0.83 1.01 3.53 2.62
10 20 9 500 1.17 1.23 2.78 2.29
표 1은 대략 1.1보다 큰, 레지스트에 대한 텅스텐 실리사이드의 에칭에 높은 에칭 선택비가 제공된, 실시예 3 및 실시예 7 에서 Cl2, He-O2, 및 CF4의 조합을 도시하고 있다. 이러한 에칭 선택비는 단지 Cl2만 사용하거나 Cl2 및 HeO2를 이용하는 실시예 2와 6의 종래의 에칭 공정에 의해 제공되는 것보다 20% 높은 약 0.8 내지 0.9의 비를 제공한다.
표 1은 대략 1.2보다 큰, 폴리실리콘에 대한 텅스텐 실리사이드의 에칭에 예상치못한 높은 에칭 선택비가 제공된 실시예 3, 5 및 7의 Cl2, He-O2, 및 CF4의 조합을 도시하고 있다. 이러한 에칭 선택비는 단지 Cl2만 사용하거나 Cl2 및 HeO2를 이용하는 실시예 2와 6의 에칭 공정에 의해 제공되는 것보다 50% 높은 약 0.8 내지0.9의 비를 제공한다.
종래 기술인 에칭 공정은 기판 표면에 대해 WSix 및 폴리실리콘의 에칭 속도의 상당한 편차를 초래하였다. 반대로, 본 발명에 따른 에칭 및 세정 공정은 기판에 대해 일정하게 높고 균일한 텅스텐 실리사이드 및 폴리실리콘 에칭 속도를 제공한다. 표 1에 도시되어진 바와 같이, WSix 층에 대한 에칭 속도의 균일도의 편차는 실시예 2 및 6에 도시되어진 것처럼, 종래의 공정의 3 내지 3.5% 이상의 에칭 편차에 대조적으로 2% 작다(실시예 5). 폴리실리콘층에 대한 균일한 에칭 속도의 편차는 실시예 2 및 6에 도시된 것처럼 종래 공정의 2.6 내지 3% 이상의 에칭 편차에 대조적으로 2.2% 작다(실시예 3). 이러한 실시예에서, WSiX 및 폴리실리콘 에칭 속도의 균일도는 다음과 같이 측정된다 :
(최대 에칭 속도 - 최소 에칭 속도)/(2 × 평균 에칭 속도)×100%
에칭 속도는 WSix 및 폴리실리콘의 에칭된 피쳐의 SEM 횡단면 사진상에 있는 다수 지점으로부터 측정된다.
실시예 8
본 실시예에서, 실리콘 이산화물층(34) 위의 실리콘 질화물층(32)은 도 1c 및 도 1d에 도시되어진 바와 같이 (하부 실리콘(36)의 얕은 트렌치(38) 절연 이전에) 마스크를 형성하도록 에칭된다. Cl2, O2, 및 SF6의 플라즈마는 실리콘 질화물층(32)을 에칭하고, 동시에 챔버 벽을 세정하기 위해 이용된다. 동일한 실리콘 기판(미도시)에 형성된 인접한 두 개 이상의 장치를 절연시키기 위해, 얕은 트렌치의 절연은 실리콘 기판(36) 내에 얕은 트렌치(38)를 형성하고, 상기 트렌치를 실리콘 산화물 또는 폴리실리콘으로 채우는 단계를 포함한다. 트렌치를 형성하는 중에, 실리콘 질화물층(32)이 실리콘 기판(36)상의 실리콘 이산화물 층(34) 위로 증착되며, 종래의 포토리소그래픽 기술을 이용하여 패턴화되며, 실리콘 질화물 마스크를 형성하기 위해 본 발명의 공정에 의해 에칭된다. 실리콘 기판은 실리콘 산화물 또는 폴리실리콘으로 채워진 얕은 트렌치를 형성하도록 에칭된다. 그 후, MOSFET 장치는 표준 CMOS 처리 방법을 이용하여 형성된다.
바람직하게, Cl2 : O2 : SF6의 용적 유량비는 실리콘 질화물 대 실리콘 이산화물을 에칭하기 위한 높은 에칭 선택비를 제공하고, 챔버를 세정하기 위한 별도의 세정 단계를 수행하지 않은 상태에서, 적어도 2000개의 기판을 챔버 내에서 에칭함으로써 발생된 모든 에천트 잔류물을 제거하도록 선택된다. 또한, 높은 실리콘 질화물 에칭 속도가 얻어지며, 실리콘 질화물 에칭 속도가 다수의 웨이퍼의 연속 에칭에 대해 비교적 균일하다는 것이 발견되었다. 높은 실리콘 질화물 대 실리콘 산화물 에칭 선택비는 하부 실리콘 이산화물층이 상부의 실리콘 질화물층의 에칭 동안 거의 에칭되지 않음을 의미한다. 높은 에칭 선택비는 하부의 전기적으로 절연되는 실리콘 이산화물층의 오버에칭 및 돌파를 방지하기 위해 바람직하다.
본 발명은 본 발명의 바람직한 변형예를 참조하여 기술됐다. 그러나 또 다른 변형예가 있음을 인지할 수 있을 것이다. 예를 들어, 본 발명의 처리 및 세정 공정은 당업자들에게 명백한 바와 같이 다른 분야에서 챔버를 처리하기 위해 이용될 수 있다. 또한, 스퍼터링 챔버, 이온 주입 챔버, 또는 증착 챔버 또는 다른 세정 공정과의 조합으로 본 발명이 적용될 수 있다. 따라서, 본 기술 분야의 숙련된 당업자들은 하기의 특허청구범위에 기재된 본 발명의 사상 및 영역을 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (31)

  1. 기판 에칭과 동시에 챔버를 세정하는 방법으로서,
    (a) 상기 챔버에 기판을 위치시키는 단계, 및
    (b) 상기 기판을 에칭하고 동시에 상기 챔버 표면상에 형성된 에칭 잔류물을 세정하기 위해 상기 챔버로 주입된 처리 가스로부터 플라즈마를 형성하는 단계를 포함하며,
    상기 처리 가스는 (i) 상기 기판을 에칭함에 따라 상기 챔버 표면상에 에칭 잔류물을 증착시키는 에칭용 에천트 가스, 및 (ii) 상기 챔버 표면상에 증착된 에칭 잔류물을 세정하기 위한 세정 가스를 포함하며,
    상기 세정 가스 대 상기 에천트 가스의 용적 유량비는 상기 에칭 공정 완료시 상기 에칭 잔류물이 상기 챔버 표면으로부터 실질적으로 완전히 제거되도록 선택되며, 상기 세정 가스 대 상기 에천트 가스의 용적 유량비는 약 1:20 내지 약 1:1인, 에칭과 동시에 챔버를 세정하는 방법.
  2. 제 1 항에 있어서, 상기 에천트 가스는 Cl2, N2, O2, HBr, 또는 He-O2중 하나 이상을 포함하며, 상기 세정 가스는 NF3, CF4, 또는 SF6중 하나 이상을 포함하는 것을 특징으로 하는 에칭과 동시에 챔버를 세정하는 방법.
  3. 제 1 항에 있어서, 상기 세정 가스 대 상기 에천트 가스의 용적 유량비는, 상기 챔버를 세정하기 위한 별도의 세정 단계를 수행하지 않은 상태에서, 상기 챔버 내에서 적어도 2000개의 기판을 처리함으로써 발생되는 상기 에천트 잔류물을 제거하도록 선택되는 것을 특징으로 하는 에칭과 동시에 챔버를 세정하는 방법.
  4. 삭제
  5. 제 4 항에 있어서, 상기 세정 가스 대 상기 에천트 가스의 용적 유량비는 약 1:10 내지 약 2:3인 것을 특징으로 하는 에칭과 동시에 챔버를 세정하는 방법.
  6. 제 1 항에 있어서, 상기 세정 가스는 약 5 내지 약 100 초 동안 상기 챔버로 주입되는 것을 특징으로 하는 에칭과 동시에 챔버를 세정하는 방법.
  7. 제 1 항에 있어서, 상기 세정 가스는 약 40,000 cm3의 용적을 갖는 챔버에 대해 적어도 약 40 내지 약 200 sccm의 비율에 상응하는 유량비 FR로 상기 챔버에 주입되는 것을 특징으로 하는 에칭과 동시에 챔버를 세정하는 방법.
  8. 에칭 챔버 내에 있는 기판상의 금속 실리사이드, 실리콘 질화물, 폴리실리콘, 또는 단결정 실리콘 에칭과 동시에 상기 에칭 챔버를 세정하는 방법으로서,
    (a) 상기 에칭 챔버 내에 상기 기판을 위치시키는 단계, 및
    (b) 상기 기판상의 금속 실리사이드, 폴리실리콘, 또는 단결정 실리콘을 에칭하고 동시에 상기 에칭 챔버 표면상의 에칭 잔류물을 세정하기 위해, 상기 에칭 챔버 내에 주입된 2개 이상의 처리 가스로부터 플라즈마를 형성하는 단계를 포함하며,
    상기 처리 가스는 (i) 상기 기판을 에칭함에 따라 상기 에칭 챔버 표면상에 실리콘 이산화물 에칭 잔류물을 증착시키는 에칭용 에천트 가스, 및 (ii) 실리콘 이산화물 에칭 잔류물을 제거하기 위한 세정 가스를 포함하며,
    상기 세정 가스 대 상기 에천트 가스의 용적 유량비는 상기 에칭 공정이 완료될 때 상기 에칭 챔버 표면으로부터 상기 모든 실리콘 이산화물 에칭 잔류물을 제거하도록 선택되며, 상기 세정 가스 대 상기 에천트 가스의 용적 유량비는 약 1:20 내지 약 1:1인, 에칭과 동시에 에칭 챔버를 세정하는 방법.
  9. 제 8 항에 있어서, 상기 에천트 가스는 Cl2, N2, O2, HBr, 또는 He-O2중 하나 이상을 포함하며, 상기 세정 가스는 NF3, CF4, 또는 SF6중 하나 이상을 포함하는 에칭 및 에칭 챔버 세정 방법.
  10. 제 8 항에 있어서, 상기 세정 가스 대 상기 에천트 가스의 용적 유량비는, 상기 챔버를 세정하기 위한 별도의 세정 단계를 수행하지 않은 상태에서, 상기 챔버 내에서 적어도 2000개의 기판을 처리함으로써 발생되는 에천트 잔류물을 제거하도록 선택되는 것을 특징으로 하는 에칭과 동시에 에칭 챔버를 세정하는 방법.
  11. 삭제
  12. 제 8 항에 있어서, 상기 세정 가스는 약 5 내지 약 100 초 동안 상기 에칭 챔버로 주입되는 에칭 및 에칭 챔버 세정 방법.
  13. 챔버 내에 있는 기판 에칭과 동시에 챔버를 세정하는 방법으로서,
    (a) 제 1 및 제 2 층을 갖는 기판을 상기 챔버 내에 위치시키는 단계,
    (b) 제 1 에칭 스테이지에서, 제 1 에천트 가스를 상기 에칭 챔버에 주입시키고 상기 제 1 층을 에칭하기 위해 제 1 에천트 가스로부터 플라즈마를 형성하는 단계,
    (c) 제 2 에칭 스테이지에서, 제 2 에천트 가스를 상기 에칭 챔버에 주입시키고 상기 제 2 층을 에칭하기 위해 제 2 에천트 가스로부터 플라즈마를 형성하는 단계, 및
    (d) 상기 제 1 및 제 2 스테이지중 하나의 스테이지에서, 상기 제 1 및 제 2 에칭 스테이지 모두에서 형성된 에칭 챔버 표면상의 모든 실리콘 이산화물 에칭 잔류물을 제거시키는 세정 플라즈마를 형성하기 위해 상기 제 1 또는 제 2 에천트 가스에 세정 가스를 부가하는 단계를 포함하며,
    상기 세정 가스 대 상기 에천트 가스의 용적 유량비는 약 1:20 내지 약 1:1인, 에칭과 동시에 챔버를 세정하는 방법.
  14. 제 13 항에 있어서, 상기 제 1 및 제 2 에천트 가스는 Cl2, N2, O2, HBr, 또는 He-O2중 하나 이상을 포함하며, 상기 세정 가스는 NF3, CF4, 또는 SF6중 하나 이상을 포함하는 에칭과 동시에 챔버를 세정하는 방법.
  15. 제 13 항에 있어서, 상기 세정 가스 대 상기 에천트 가스의 용적 유량비는, 상기 챔버를 세정하기 위한 별도의 세정 단계를 수행하지 않은 상태에서, 상기 챔버 내에서 적어도 2000개의 기판을 처리함으로써 발생된 에천트 잔류물을 제거하도록 선택되는 것을 특징으로 하는 에칭과 동시에 챔버를 세정하는 방법.
  16. 삭제
  17. 제 13항에 있어서, 상기 세정 가스는 약 5 내지 약 100 초 동안 상기 챔버에 주입되는 것을 특징으로 하는 에칭과 동시에 챔버를 세정하는 방법.
  18. 반도체 기판을 제조하는 방법으로서,
    (a) 공정 챔버의 공정 영역 내에 기판을 위치시키는 단계,
    (b) 상기 기판을 에칭하기 위한 에천트 가스를 포함하는 하나 이상의 처리 가스를 상기 공정 영역에 주입시키고, 상기 기판을 에칭하기 위해 상기 처리 가스로부터 플라즈마를 발생시키는 단계, 및
    (c) 상기 기판이 에칭되는 동안에 상기 처리 가스에 세정 가스를 부가하는 단계를 포함하며,
    상기 세정 가스는 충분한 시간 동안 제공되며, 상기 세정 가스 대 상기 에천트 가스의 용적 유량비는 상기 처리 가스에 의해 증착된 모든 에칭 잔류물과 반응하여 실질적으로 제거될 정도로 충분히 높고, 상기 세정 가스 대 상기 에천트 가스의 용적 유량비는 약 1: 20 내지 약 1:1인, 반도체 기판 제조 방법.
  19. 제 18 항에 있어서, 상기 에천트 가스는 Cl2, N2, O2, HBr, 또는 He-O2중 하나 이상을 포함하며, 상기 세정 가스는 NF3, CF4, 또는 SF6중 하나 이상을 포함하는 것을 특징으로 하는 반도체 기판 제조 방법.
  20. 삭제
  21. 제 18 항에 있어서, 상기 세정 가스는 약 5 내지 약 100 초 동안 상기 공정 챔버에 주입되는 것을 특징으로 하는 반도체 기판 제조 방법.
  22. 제 18 항에 있어서, 상기 세정 가스는 약 40,000 cm3의 용적을 갖는 공정 챔버에 대해 적어도 약 40 내지 약 200 sccm의 비율에 상응하는 유량비 FR로 상기 공정 챔버에 주입되는 것을 특징으로 하는 반도체 기판 제조 방법.
  23. 기판 에칭과 동시에 에칭 챔버를 세정하는 방법으로서,
    (a) 상기 에칭 챔버 내에 실리콘 함유 재료를 포함하는 기판을 위치시키는 단계, 및
    (b) 상기 기판을 에칭하는 동시에 상기 챔버 표면상에 형성된 에칭 잔류물을 세정하기 위해 상기 에칭 챔버로 주입된 처리 가스로부터 플라즈마를 형성하는 단계를 포함하며,
    상기 처리 가스는 상기 에칭 공정이 완료될 때 상기 챔버 표면상에 형성된 모든 에칭 잔류물이 실질적으로 제거되도록 선택되는 용적 유량비로 Cl2, N2, 및 CF4 를 포함하는, 에칭과 동시에 에칭 챔버를 세정하는 방법.
  24. 제 23 항에 있어서, 상기 Cl2, N2, 및 CF4의 용적 유량비는, 상기 챔버를 세정하기 위한 별도의 세정 단계를 수행하지 않은 상태에서, 상기 챔버 내에서 적어도 2000개 의 기판을 에칭함으로써 발생된 모든 에천트 잔류물이 실질적으로 제거되도록 선택되는 것을 특징으로 하는 에칭과 동시에 에칭 챔버를 세정하는 방법.
  25. 제 23 항에 있어서, 상기 CF4 : (Cl2+ N2)의 상기 용적 유량비는 약 1:20 내지 약 1:1인 것을 특징으로 하는 에칭과 동시에 에칭 챔버를 세정하는 방법.
  26. 기판 에칭과 동시에 에칭 챔버를 세정하는 방법으로서,
    (a) 상기 에칭 챔버 내에 실리콘 함유 재료를 포함하는 기판을 위치시키는 단계, 및
    (b) 상기 기판을 에칭하는 동시에 상기 챔버 표면상에 형성된 에칭 잔류물을 세정하기 위해 상기 에칭 챔버에 주입된 처리 가스로부터 플라즈마를 형성하는 단계를 포함하며,
    상기 처리 가스는 상기 에칭 공정이 완료될 때 상기 챔버 표면상에 형성된 모든 에칭 잔류물이 실질적으로 제거되도록 선택되는 용적 유량비로 Cl2, N2, 및 SF6 를 포함하는, 에칭과 동시에 에칭 챔버를 세정하는 방법.
  27. 제 26 항에 있어서, 상기 Cl2, N2, 및 SF6 의 용적 유량비는 상기 챔버를 세정하기 위한 별도의 세정 단계를 수행하지 않은 상태에서, 상기 챔버 내에서 적어도 2000개의 기판을 에칭함으로써 발생된 모든 에천트 잔류물이 실질적으로 제거되도록 선택되는 에칭과 동시에 에칭 챔버를 세정하는 방법.
  28. 제 26 항에 있어서, 상기 SF6 : (Cl2+ N2)의 상기 용적 유량비는 약 1:20 내지 약 1:1인 에칭과 동시에 에칭 챔버를 세정하는 방법.
  29. 기판 에칭과 동시에 에칭 챔버를 세정하는 방법으로서,
    (a) 상기 에칭 챔버 내에 실리콘 함유 재료를 포함하는 기판을 위치시키는 단계, 및
    (b) 상기 기판을 에칭하는 동시에 상기 챔버 표면상에 형성된 에칭 잔류물을 세정하기 위해 상기 에칭 챔버로 주입된 처리 가스로부터 플라즈마를 형성하는 단계를 포함하며,
    상기 처리 가스는 상기 에칭 공정이 완료될 때 상기 챔버 표면상에 형성된 모든 에칭 잔류물이 실질적으로 제거되도록 선택되는 용적 유량비로 Cl2, N2, 및 NF3 를 포함하는, 에칭과 동시에 에칭 챔버를 세정하는 방법.
  30. 제 29 항에 있어서, 상기 Cl2, N2, 및 NF3 의 용적 유량비는 상기 챔버를 세정하기 위한 별도의 세정 단계를 수행하지 않은 상태에서, 상기 챔버 내에서 적어도 2000개의 기판을 에칭함으로써 발생된 모든 에천트 잔류물이 실질적으로 제거되도록 선택되는 에칭과 동시에 에칭 챔버를 세정하는 방법.
  31. 제 29 항에 있어서, 상기 NF3 : (Cl2+ N2)의 용적 유량비는 약 1:20 내지 약 1:1인 에칭과 동시에 에칭 챔버를 세정하는 방법.
KR10-2000-7005148A 1997-11-12 1998-10-15 자체 세정가능한 에칭 공정 KR100530246B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/969,122 1997-11-12
US08/969,122 US6136211A (en) 1997-11-12 1997-11-12 Self-cleaning etch process
US08/969,122 1997-11-12

Publications (2)

Publication Number Publication Date
KR20010032030A KR20010032030A (ko) 2001-04-16
KR100530246B1 true KR100530246B1 (ko) 2005-11-22

Family

ID=25515212

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7005148A KR100530246B1 (ko) 1997-11-12 1998-10-15 자체 세정가능한 에칭 공정

Country Status (6)

Country Link
US (2) US6136211A (ko)
EP (1) EP1029345A1 (ko)
JP (1) JP2001523044A (ko)
KR (1) KR100530246B1 (ko)
TW (1) TW506019B (ko)
WO (1) WO1999025015A1 (ko)

Families Citing this family (422)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
KR100257903B1 (ko) * 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
US6093655A (en) 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6235213B1 (en) * 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6010967A (en) * 1998-05-22 2000-01-04 Micron Technology, Inc. Plasma etching methods
US6277759B1 (en) 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6242347B1 (en) 1998-09-30 2001-06-05 Applied Materials, Inc. Method for cleaning a process chamber
US6399516B1 (en) * 1998-10-30 2002-06-04 Massachusetts Institute Of Technology Plasma etch techniques for fabricating silicon structures from a substrate
US6374833B1 (en) * 1999-05-05 2002-04-23 Mosel Vitelic, Inc. Method of in situ reactive gas plasma treatment
EP2500941A3 (en) * 1999-06-02 2017-05-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6431112B1 (en) * 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6395095B1 (en) * 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6808647B1 (en) * 1999-07-12 2004-10-26 Applied Materials Inc Methodologies to reduce process sensitivity to the chamber condition
US6318381B1 (en) * 1999-07-13 2001-11-20 Micron Technology, Inc. Methods of cleaning vaporization surfaces
FR2797715B1 (fr) * 1999-07-13 2006-08-25 Ibm Procede de gravure plasma d'une couche de polysilicium au traver d'une couche de si02 gravee
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6358788B1 (en) 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
JP4381526B2 (ja) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 プラズマエッチング方法
JP2001135630A (ja) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp 半導体装置の製造方法
JP2001156045A (ja) 1999-11-26 2001-06-08 Kawasaki Steel Corp 半導体装置の製造方法および製造装置
US6759337B1 (en) * 1999-12-15 2004-07-06 Lsi Logic Corporation Process for etching a controllable thickness of oxide on an integrated circuit structure on a semiconductor substrate using nitrogen plasma and plasma and an rf bias applied to the substrate
US6869885B1 (en) * 1999-12-17 2005-03-22 Koninklijke Philips Electronics N.V. Method for a tungsten silicide etch
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6300251B1 (en) * 2000-02-10 2001-10-09 Chartered Semiconductor Manufacturing Ltd. Repeatable end point method for anisotropic etch of inorganic buried anti-reflective coating layer over silicon
EP1256127A1 (en) * 2000-02-18 2002-11-13 Applied Materials, Inc. Self-cleaning process for etching silicon-containing material
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6544887B1 (en) * 2000-03-31 2003-04-08 Lam Research Corporation Polycide etch process
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP3593492B2 (ja) * 2000-06-13 2004-11-24 株式会社日立製作所 プラズマ処理方法
JP2002025986A (ja) * 2000-07-06 2002-01-25 Matsushita Electric Ind Co Ltd ドライエッチング方法
US6573192B1 (en) * 2000-09-21 2003-06-03 Infineon Technologies Ag Dual thickness gate oxide fabrication method using plasma surface treatment
US6716303B1 (en) * 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US6585830B2 (en) * 2000-11-30 2003-07-01 Agere Systems Inc. Method for cleaning tungsten from deposition wall chambers
US6821900B2 (en) * 2001-01-09 2004-11-23 Infineon Technologies Ag Method for dry etching deep trenches in a substrate
EP1235265A1 (en) * 2001-02-23 2002-08-28 Infineon Technologies AG Method for etching a hardmask layer and a metal layer
US6362098B1 (en) * 2001-02-28 2002-03-26 Motorola, Inc. Plasma-enhanced chemical vapor deposition (CVD) method to fill a trench in a semiconductor substrate
JP2002280365A (ja) 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6577113B2 (en) 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
CN1287430C (zh) * 2001-06-15 2006-11-29 东京毅力科创株式会社 干蚀刻方法
KR100799069B1 (ko) * 2001-06-20 2008-01-29 동부일렉트로닉스 주식회사 웨이퍼 식각장치 및 식각방법
US7183217B2 (en) * 2001-06-22 2007-02-27 Tokyo Electron Limited Dry-etching method
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
JP2003068705A (ja) * 2001-08-23 2003-03-07 Hitachi Ltd 半導体素子の製造方法
TW511215B (en) * 2001-10-15 2002-11-21 Macronix Int Co Ltd Inspection method for dynamic particle contaminant state of the etching chamber
US20030092280A1 (en) * 2001-11-09 2003-05-15 Applied Materials, Inc. Method for etching tungsten using NF3 and Cl2
US6606802B2 (en) 2001-11-30 2003-08-19 Micron Technology Inc. Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6814814B2 (en) * 2002-03-29 2004-11-09 Applied Materials, Inc. Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
US6921723B1 (en) * 2002-04-23 2005-07-26 Applied Materials, Inc. Etching method having high silicon-to-photoresist selectivity
US6784062B2 (en) * 2002-06-03 2004-08-31 Micron Technology, Inc. Transistor formation for semiconductor devices
DE10226603A1 (de) 2002-06-14 2004-01-08 Infineon Technologies Ag Verfahren zum Strukturieren einer Siliziumschicht sowie dessen Verwendung zur Herstellung einer integrierten Halbleiterschaltung
DE10226604B4 (de) * 2002-06-14 2006-06-01 Infineon Technologies Ag Verfahren zum Strukturieren einer Schicht
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
JP3905462B2 (ja) * 2002-11-20 2007-04-18 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US6903028B2 (en) * 2002-11-29 2005-06-07 1St Silicon (Malaysia) Sdn Bhd Soft-landing etching method using doping level control
KR20040048019A (ko) * 2002-12-02 2004-06-07 주성엔지니어링(주) 실리콘 에피텍셜층 형성방법
JP2004221313A (ja) * 2003-01-15 2004-08-05 Kawasaki Microelectronics Kk 半導体製造工程の管理方法および半導体製造ラインの管理システム
US7140374B2 (en) * 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US20050072444A1 (en) * 2003-10-03 2005-04-07 Shigeru Shirayone Method for processing plasma processing apparatus
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7202170B2 (en) * 2004-01-20 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving etching profile of floating gates for flash memory devices
US7288284B2 (en) * 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7820553B2 (en) * 2005-07-20 2010-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Prevention of trench photoresist scum
US20070074741A1 (en) * 2005-09-30 2007-04-05 Tokyo Electron Limited Method for dry cleaning nickel deposits from a processing system
TWI473149B (zh) * 2006-04-26 2015-02-11 Advanced Tech Materials 半導體製程系統之清潔
JP5578389B2 (ja) * 2006-05-16 2014-08-27 Nltテクノロジー株式会社 積層膜パターン形成方法及びゲート電極形成方法
KR100653217B1 (ko) 2006-05-29 2006-12-04 주식회사 아이피에스 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
US7754610B2 (en) * 2006-06-02 2010-07-13 Applied Materials, Inc. Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
US7993465B2 (en) 2006-09-07 2011-08-09 Applied Materials, Inc. Electrostatic chuck cleaning during semiconductor substrate processing
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP4755963B2 (ja) * 2006-10-30 2011-08-24 株式会社東芝 半導体装置の製造方法
US20080102642A1 (en) * 2006-10-31 2008-05-01 United Microelectronics Corp. Method of seasoning idle silicon nitride etcher and method of activating
US20080142039A1 (en) * 2006-12-13 2008-06-19 Advanced Technology Materials, Inc. Removal of nitride deposits
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
US7946759B2 (en) * 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
JP5095242B2 (ja) * 2007-03-08 2012-12-12 株式会社日立ハイテクノロジーズ プラズマ処理方法
US20090032880A1 (en) * 2007-08-03 2009-02-05 Applied Materials, Inc. Method and apparatus for tunable isotropic recess etching of silicon materials
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
TWI573179B (zh) 2008-02-11 2017-03-01 先進科技材料公司 在半導體處理系統中離子源之清洗
JP5163894B2 (ja) * 2008-11-11 2013-03-13 信越化学工業株式会社 ドライエッチング時間の決定方法、及びドライエッチング方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
KR101682078B1 (ko) * 2010-07-30 2016-12-05 삼성디스플레이 주식회사 박막 트랜지스터 표시판의 제조 방법
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
TWI555058B (zh) 2011-03-01 2016-10-21 應用材料股份有限公司 雙負載閘配置之減弱及剝離處理腔室
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
KR20120120729A (ko) * 2011-04-25 2012-11-02 에스케이하이닉스 주식회사 반도체장치의 금속패턴 제조 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) * 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) * 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9966312B2 (en) * 2015-08-25 2018-05-08 Tokyo Electron Limited Method for etching a silicon-containing substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10204832B2 (en) 2016-09-21 2019-02-12 Tokyo Electron Limited Method of patterning intersecting structures
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102575017B1 (ko) * 2016-11-17 2023-09-05 삼성디스플레이 주식회사 유리 기판의 결함 검출 방법
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6928548B2 (ja) * 2017-12-27 2021-09-01 東京エレクトロン株式会社 エッチング方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102656520B1 (ko) * 2018-04-27 2024-04-12 주성엔지니어링(주) 기판 처리 장치 및 이 장치를 이용한 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
TW202105505A (zh) * 2019-02-22 2021-02-01 日商東京威力科創股份有限公司 電漿蝕刻製程
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115198352B (zh) * 2022-08-24 2024-03-26 西安奕斯伟材料科技股份有限公司 一种外延生长方法及外延晶圆

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5318668A (en) * 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
US5644153A (en) * 1995-10-31 1997-07-01 Micron Technology, Inc. Method for etching nitride features in integrated circuit construction

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5782955A (en) * 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
CA1204525A (en) * 1982-11-29 1986-05-13 Tetsu Fukano Method for forming an isolation region for electrically isolating elements
AU544534B2 (en) * 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4490209B2 (en) * 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
JPH0824114B2 (ja) * 1984-11-09 1996-03-06 株式会社日立製作所 プラズマエッチング方法
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
US5219485A (en) 1985-10-11 1993-06-15 Applied Materials, Inc. Materials and methods for etching silicides, polycrystalline silicon and polycides
JPH0740566B2 (ja) * 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US5354416A (en) 1986-09-05 1994-10-11 Sadayuki Okudaira Dry etching method
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4863561A (en) * 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
ATE177877T1 (de) * 1986-12-19 1999-04-15 Applied Materials Inc Bromine-ätzverfahren für silizium
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4867841A (en) * 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
JPS6432627A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
JPS6450427A (en) 1987-08-21 1989-02-27 Hitachi Ltd Plasma processing
US4876212A (en) * 1987-10-01 1989-10-24 Motorola Inc. Process for fabricating complimentary semiconductor devices having pedestal structures
US4799991A (en) * 1987-11-02 1989-01-24 Motorola, Inc. Process for preferentially etching polycrystalline silicon
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US5084126A (en) * 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
US5035768A (en) * 1989-11-14 1991-07-30 Intel Corporation Novel etch back process for tungsten contact/via filling
US4992134A (en) 1989-11-14 1991-02-12 Advanced Micro Devices, Inc. Dopant-independent polysilicon plasma etch
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
EP0439101B1 (en) 1990-01-22 1997-05-21 Sony Corporation Dry etching method
US5110411A (en) * 1990-04-27 1992-05-05 Micron Technology, Inc. Method of isotropically dry etching a poly/WSix sandwich structure
US5013398A (en) * 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
EP0463373A3 (en) * 1990-06-29 1992-03-25 Texas Instruments Incorporated Local interconnect using a material comprising tungsten
JP2964605B2 (ja) * 1990-10-04 1999-10-18 ソニー株式会社 ドライエッチング方法
US5094712A (en) * 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5160407A (en) * 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5338398A (en) * 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
US5164330A (en) * 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5431772A (en) * 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
JP3210359B2 (ja) * 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
JP3253675B2 (ja) * 1991-07-04 2002-02-04 株式会社東芝 荷電ビーム照射装置及び方法
US5358601A (en) * 1991-09-24 1994-10-25 Micron Technology, Inc. Process for isotropically etching semiconductor devices
DE4132559A1 (de) * 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
US5176792A (en) * 1991-10-28 1993-01-05 At&T Bell Laboratories Method for forming patterned tungsten layers
US5192702A (en) * 1991-12-23 1993-03-09 Industrial Technology Research Institute Self-aligned cylindrical stacked capacitor DRAM cell
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
DE4202158C1 (ko) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5282899A (en) * 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
EP0647163B1 (en) * 1992-06-22 1998-09-09 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5188980A (en) * 1992-07-06 1993-02-23 United Microelectronics Corporation Inert gas purge for the multilayer poly gate etching improvement
JP3334911B2 (ja) 1992-07-31 2002-10-15 キヤノン株式会社 パターン形成方法
US5256245A (en) * 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
JP3227522B2 (ja) * 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
US5413954A (en) * 1992-11-10 1995-05-09 At&T Bell Laboratories Method of making a silicon-based device comprising surface plasma cleaning
JP3259380B2 (ja) * 1992-12-04 2002-02-25 ソニー株式会社 半導体装置の製造方法
JP3277394B2 (ja) * 1992-12-04 2002-04-22 ソニー株式会社 半導体装置の製造方法
KR100363340B1 (ko) 1993-05-20 2003-02-19 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리방법
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
JPH0729879A (ja) * 1993-06-24 1995-01-31 Sony Corp 半導体装置の製造方法
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5382316A (en) * 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JPH07147271A (ja) * 1993-11-26 1995-06-06 Nec Corp 半導体装置の製造方法
US5620615A (en) * 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
JPH0864559A (ja) 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
US5521119A (en) * 1994-07-13 1996-05-28 Taiwan Semiconductor Manufacturing Co. Post treatment of tungsten etching back
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5514622A (en) * 1994-08-29 1996-05-07 Cypress Semiconductor Corporation Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5529197A (en) * 1994-12-20 1996-06-25 Siemens Aktiengesellschaft Polysilicon/polycide etch process for sub-micron gate stacks
US5665203A (en) * 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JPH0982687A (ja) 1995-09-19 1997-03-28 Mitsubishi Electric Corp 半導体装置の製造方法
US5626775A (en) * 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5874363A (en) * 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US5700741A (en) 1996-05-20 1997-12-23 Vanguard International Semiconductor Corporation Plasma purge method for plasma process particle control
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JPH1023387A (ja) 1996-07-04 1998-01-23 Ekushingu:Kk Catvセンタ装置
JP3568749B2 (ja) 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
US5869401A (en) * 1996-12-20 1999-02-09 Lam Research Corporation Plasma-enhanced flash process
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5866483A (en) * 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6270634B1 (en) 1999-10-29 2001-08-07 Applied Materials, Inc. Method for plasma etching at a high etch rate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5318668A (en) * 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
US5644153A (en) * 1995-10-31 1997-07-01 Micron Technology, Inc. Method for etching nitride features in integrated circuit construction

Also Published As

Publication number Publication date
WO1999025015A1 (en) 1999-05-20
EP1029345A1 (en) 2000-08-23
KR20010032030A (ko) 2001-04-16
US6699399B1 (en) 2004-03-02
US6136211A (en) 2000-10-24
TW506019B (en) 2002-10-11
JP2001523044A (ja) 2001-11-20

Similar Documents

Publication Publication Date Title
KR100530246B1 (ko) 자체 세정가능한 에칭 공정
KR100738850B1 (ko) 플라즈마 에칭 챔버에 대한 다단계 세정
US6787054B2 (en) Two-stage etching process
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US6440864B1 (en) Substrate cleaning process
US7575007B2 (en) Chamber recovery after opening barrier over copper
US6008139A (en) Method of etching polycide structures
US6235640B1 (en) Techniques for forming contact holes through to a silicon layer of a substrate
KR101299661B1 (ko) 정규형 저유전율 유전체 재료 및/또는 다공형 저유전율유전체 재료의 존재 시 레지스트 스트립 방법
JPH0982687A (ja) 半導体装置の製造方法
US6325861B1 (en) Method for etching and cleaning a substrate
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
KR100563610B1 (ko) 반도체소자의제조방법
JPH08236513A (ja) プラズマ中で基板をエッチングする方法
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
US6554004B1 (en) Method for removing etch residue resulting from a process for forming a via
US20030089382A1 (en) Novel post etching treatment process for high density oxide etcher
US20030045113A1 (en) Fabrication method of semiconductor integrated circuit device
JP3362093B2 (ja) エッチングダメージの除去方法
US20010049196A1 (en) Apparatus for improving etch uniformity and methods therefor
KR100520140B1 (ko) 반도체소자의캐패시터제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091012

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee