JP2001523044A - 自動洗浄エッチングプロセス - Google Patents

自動洗浄エッチングプロセス

Info

Publication number
JP2001523044A
JP2001523044A JP2000519917A JP2000519917A JP2001523044A JP 2001523044 A JP2001523044 A JP 2001523044A JP 2000519917 A JP2000519917 A JP 2000519917A JP 2000519917 A JP2000519917 A JP 2000519917A JP 2001523044 A JP2001523044 A JP 2001523044A
Authority
JP
Japan
Prior art keywords
etching
chamber
gas
substrate
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000519917A
Other languages
English (en)
Inventor
シュ−ユ チェン,
ズィ−ウェン スン,
ウェイナン ジアン,
アーサー,ワイ. チェン,
ジェラルド, ゼヤオ イン,
ミン−スン ヤン,
ミン−スン クオ,
デイヴィッド, エス. エル. ミュイ,
ジェフリー チン,
シャオファー, エックス. パン,
シクン ワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001523044A publication Critical patent/JP2001523044A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 エッチングチャンバ(30)内の基板(25)をエッチングし、同時に、エッチングチャンバ(30)の壁(45)および構成要素の表面に堆積した、薄い、均質でない、エッチング残留物を洗浄するためのプロセス。エッチングステップでは、エッチャントガスを含むプロセスガスが、エッチングチャンバ(30)内で基板(25)をエッチングするのに使用され、それにより、チャンバ(30)内側にエッチング残留物を堆積する。洗浄ガスは、プロセスガスにより堆積された実質的に全てのエッチング残留物と反応し、除去するのに、充分な時間にわたり、しかも充分に高い体積流量比でプロセスガスへ加えられる。本方法は、エッチングプロセス中に、別の洗浄、表面コンディショニング、およびシーズニングのプロセスを用いることなく、チャンバ(30)内でエッチング残留物を有利に洗浄する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、エッチングチャンバ内の半導体基板をエッチングする方法、および
エッチングチャンバの洗浄方法に関する。
【0002】
【発明の背景】
集積回路の製造では、基板上の二酸化ケイ素、窒化ケイ素、ポリシリコン、金
属シリサイド、および単結晶シリコンが、所定のパターンでエッチングされて、
ゲート、バイア、コンタクトホール、トレンチ、および/または配線を形成する
。エッチングプロセスでは、酸化物または窒化物のハードマスク、またはフォト
レジストから構成されるパターン化されたマスク層が、通常の方法を使用して基
板上に形成される。パターン化マスク間の基板露出部分は、エッチャントガスの
静電容量的または誘導的に結合されるプラズマによりエッチングされる。エッチ
ングプロセス中に、薄いポリマーのエッチング残留物が、エッチングチャンバ内
側の壁および他の構成要素の表面に堆積する。エッチング残留物の組成は、エッ
チャントプロセスガスの気化された核種、エッチングされる基板材料、および基
板上へ付加されるマスクまたはレジスト層の組成に依存する。例えば、タングス
テンシリサイド、ポリシリコン、または他のケイ素含有層がエッチングされる場
合、ケイ素含有ガスの核種は基板から気化またはスパッタされ、金属層のエッチ
ングは、結果として金属核種の気化を生ずる。加えて、基板上のレジストまたは
マスク層もエッチャントガスにより一部気化し、炭化水素または酸素核種を形成
する。チャンバでの気化、つまりガスの核種は凝固して、レジストからの炭化水
素核種;フッ素、塩素、酸素、または窒素等のガス成分;および、エッチングさ
れる基板の組成に依存するケイ素元素または金属核種;から構成されるポリマー
の副生成物を形成する。ポリマーの副生成物は、チャンバ内の壁および構成要素
上にエッチング残留物の薄層として堆積する。エッチング残留物層の組成は、局
在するガスの環境の組成、ガス取入口と排気口の位置、およびチャンバの形状に
依存してチャンバ表面にわたって普通にはかなり変化する。
【0003】 エッチングチャンバ表面上に形成される、組成が様々な、均質でない、エッチ
ング残留物層は、基板の汚染を防止するよう定期的に洗浄されなければならない
。普通には、約25枚のウェーハのプロセス後に、in-situプラズマ「乾式洗浄 」プロセスが、空のエッチングチャンバで行なわれてチャンバを洗浄する。しか
し、エネルギー性のプラズマ核種は、チャンバ壁およびチャンバ構成要素を急速
に侵食し、そのような部品および構成要素を頻繁に交換することは費用がかかる
。また、チャンバ表面の侵食は結果として、ウェーハ毎にエッチングプロセスの
不安定性を生じ得る。薄い、組成の様々なエッチング残留物は、全ての残留物の
除去によってin-situプラズマクリーンプロセスを終了することを困難にし、結 果として下地のチャンバ表面の侵食を生じ、全てのチャンバ表面からの硬質残留
物除去を困難にしている。例えば、チャンバの取入口または排気口近くに形成さ
れるエッチング残留物は、基板近くに形成されるものより高濃度のエッチャント
ガス核種を有することが多く、基板近くは普通には高濃度のレジスト、ハードマ
スク、またはエッチングされる材料を含んでいる。
【0004】 組成が様々なエッチング残留物を均等にエッチング除去する洗浄プラズマを形
成することは困難である。従って、約100枚または300枚のウェーハ洗浄後
に、エッチングチャンバは、大気へ開放され、「湿式洗浄」プロセスで洗浄され
、そこでは、作業者は、酸または溶剤を使用して、チャンバ壁上に蓄積されたエ
ッチング残留物をスクラブ除去および溶解する。一貫したチャンバ表面の特性を
提供するために、湿式洗浄ステップ後に、長時間チャンバを排気し、その後、ダ
ミーウェーハ上で一連のエッチングプロセスの操作を行なうことによって、チャ
ンバ表面は「シーズニング」される。内側チャンバ表面は、一貫した化学表面、
すなわち、表面の化学基 (chemical groups) の濃度、種類、または官能性にお いてほとんど、または全く変動を有しない表面を持たねばならず、さもないと、
チャンバで行なわれるエッチングプロセスは、基板毎に大きく変動するエッチン
グ特性を招来する。排気プロセスで、チャンバは、高真空環境で2時間から3時
間維持され、湿式洗浄プロセス中にチャンバ内に閉じ込められた水分および他の
揮発性核種をガス放出する。その後、チャンバ内で行なわれるエッチングプロセ
スは、ダミーウェーハ上で10分から15分間、または、チャンバが、一貫した
再現可能なエッチング特性を提供するまで稼動される。
【0005】 競合の厳しい半導体業界において、乾式または湿式洗浄中、およびシーズニン
グのプロセスステップ中のエッチングチャンバの作業中止期間から結果する基板
当りのコスト上昇は非常に好ましくない。普通には、各乾式洗浄プロセスステッ
プに対し5分から10分かかり、湿式洗浄プロセスを完了するのに2時間から3
時間かかる。また、湿式洗浄とシーズニングプロセスは、しばしば一貫しない、
変化するエッチング特性を提供する。特に、湿式洗浄プロセスは、作業者により
手作業で実施されるので、しばしば1回毎に変化し、結果としてチャンバ表面特
性の変動、およびエッチングプロセスの低い再現性を生ずる。従って、チャンバ
表面へのエッチング残留物の堆積を除去または排除できるエッチングプロセスを
有することが望ましい。
【0006】 半導体の製造では、更に別の種類の問題が、同様の成分要素、例えば、タング
ステンシリサイド、ポリシリコン、窒化ケイ素、二酸化ケイ素のようなケイ素含
有材料、を有する材料の多層をエッチングすることにおいて発生する。図1aと
1bを参照すると、半導体基板20上の普通の多層ポリサイド構造は、ドープま
たはアンドープのポリシリコン層24上に堆積された金属シリサイド層22を備
える。ポリサイド層は、二酸化ケイ素層26上に形成されて、エッチングされ、
エッチド・フィーチャ30を形成する。これらの多層構造では、上のレジスト層
28、または下地のポリシリコン層24に対して金属シリサイドをエッチングす
るための高エッチング選択比 (selectivity ratio) を得ることは困難である。 エッチングされるフィーチャ間の従形 (conformal) 金属シリサイド層22の部 分が、エッチングされるフィーチャの上で金属シリサイド層22の部分より厚い
(図示せず)箇所で、平面でなく高度に入組んだ配置形状 (highly convoluted
topography) を有するポリサイド構造に対して、高エッチング選択比を有するこ
とは特に望ましい。エッチングプロセス中の一定の時間で、薄い方の金属シリサ
イドがエッチング貫通し、下地ポリシリコン層のエッチングが開始される一方、
厚い方の金属シリサイド層22は、まだエッチングされている。これは、ポリシ
リコン層24が金属シリサイド層のエッチングレートに対して充分にゆっくりエ
ッチングされること、薄い方の金属シリサイド層の下のポリシリコン層24全体
が、金属シリサイド層22の厚い方の部分のエッチングが完了する前に、エッチ
ング貫通しないことを必要とする。従って、ポリシリコン層24のエッチングレ
ートに対して金属シリサイド層22を速いレートでエッチングすることが望まし
い。同じ問題が、例えば図1cと1dに示すように、シリコンを備える基板36
でトレンチを形成する前に、非常に薄い二酸化ケイ素層34上の窒化ケイ素のマ
スク層32のエッチングで発生する。エッチド・トレンチ38は、基板上に形成
される能動MOSFETデバイスを隔離するのに使用される。二酸化ケイ素に対
する窒化ケイ素をエッチングのためのエッチング選択比は、層を貫通してエッチ
ングすることなく、二酸化ケイ素層上で止まるよう非常に高くなくてはならない
【0007】 高エッチング選択比は、著しく異なるエッチングレートで異なるケイ素含有材
料をエッチングするプロセスガス組成を使用することによって得られ、それは、
特定層との特定のプロセスガス組成の化学反応度に依存する。しかし、ポリシリ
コンに対する高選択比を持って金属シリサイド層をエッチングすること、または
二酸化ケイ素層に対して高選択比を持って窒化ケイ素層をエッチングすることは
、両材料がケイ素元素を含み、最も通常のエッチャントプラズマがケイ素含有層
をエッチングして、ガス状のSiClxまたはSiFx核種を形成するので特に困
難である。従って、エッチャントプラズマが、化学的に識別し、ポリシリコン層
24より速く金属シリサイド層22を優先的にエッチングし、二酸化ケイ素層3
4より速く窒化ケイ素層32を優先的にエッチングすることは困難である。この
問題は、チャンバ側壁上に形成されるエッチャント残留物も二酸化ケイ素を含有
し、ポリサイドのエッチングプロセス中にエッチャント残留物を除去する試みは
、これらの層のエッチング選択比の割合を実質的に低下させる結果となる故に、
更に悪化される。
【0008】 従って、エッチングチャンバでエッチング残留物の堆積の形成を低減するエッ
チングプロセスを有することが望ましい。また、エッチング、または洗浄ガスは
、チャンバで露出面を侵食しないことが望ましい。更に、チャンバ表面の本来の
化学的反応性と表面の官能基を回復するエッチングまたは洗浄プロセスを有する
ことが望ましい。更に、チャンバの洗浄プロセスが、下地チャンバ表面の過度の
侵食なく、変化する厚さと化学量論比を有する化学的に付着したエッチング残留
物層を除去することが望ましい。また、多層材料をエッチングすることにより生
成されるエッチング残留物、例えば、ポリシリコン層上のタングステンシリサイ
ド、のエッチング中のエッチング残留物を含む二酸化ケイ素または二酸化ケイ素
層を、エッチング選択比を犠牲にすることなく、除去するためのエッチングプロ
セスを有することが望ましい。
【0009】
【発明の要旨】
本発明は、チャンバ表面上のエッチング残留物を低減または完全に排除し、高
度に一貫した、再現性のあるエッチング性能を提供する、エッチングチャンバ内
の基板をエッチングする方法を提供する。方法では、基板がエッチングされ、同
時に、エッチングチャンバは、エッチング残留物を洗浄除去される。基板は、チ
ャンバ内に配置され、プラズマは、チャンバへ導入されるプロセスガスから形成
されて、同時に基板をエッチングし、チャンバ表面上に堆積されるエッチング残
留物を洗浄する。プロセスガスは、(i)基板をエッチングするエッチャントガ
スであって、基板をエッチングすることによってエッチング残留物をチャンバ表
面上に形成するエッチャントガスと、(ii)チャンバ表面上に形成されるエッ
チング残留物を洗浄するための洗浄ガスとを含み、洗浄ガスのエッチャントガス
に対する体積流量比は、エッチングプロセス完了時にエッチング残留物がチャン
バ表面から実質的完全に除去されるように選定される。
【0010】 方法は、基板上の金属シリサイド、窒化ケイ素、ポリシリコン、または、単結
晶シリコンをエッチングする一方、同時に、エッチングチャンバの二酸化ケイ素
含有エッチング残留物を洗浄するために有用である。この方法では、チャンバへ
導入される1つ以上のプロセスガスからプラズマが形成され、基板をエッチング
し、エッチングチャンバ表面上のエッチング残留物を洗浄する。プロセスガスは
、(i)基板上の金属シリサイド、ポリシリコン、またはシリコンをエッチング
し、それによりエッチングチャンバ表面上に二酸化ケイ素のエッチング残留物を
形成するエッチャントガスと、(ii)エッチングチャンバ表面上の二酸化ケイ
素のエッチング残留物を除去するための洗浄ガスとを含む。洗浄ガスのエッチャ
ントガスに対する体積流量比は、エッチングプロセス完了時に、チャンバ表面か
ら実質的に全ての二酸化ケイ素のエッチング残留物を除去するように選定される
【0011】 本プロセスの実施例の1つでは、ケイ素含有材料(金属シリサイド、単結晶シ
リコン、多結晶シリコン、窒化ケイ素、酸化ケイ素)を備える基板は、エッチン
グプロセス完了時に、チャンバ表面上に形成される実質的に全てのエッチング残
留物を除去するよう選定される体積流量比で、Cl2,N2,およびCF4を含む プロセスガスのプラズマを使用してエッチングされる。好ましくは、Cl2,N2 ,およびCF4の体積流量比は、チャンバを洗浄するための別の洗浄ステップを 行なうことなく、チャンバ内で少なくとも2000枚の基板をエッチングするこ
とにより生成される実質的に全てのエッチング残留物を除去するよう選定される
。CF4:(Cl2+N2)の体積流量比の割合は、より好ましくは、約1:20 から約1:1である。別の実施例では、プロセスは、好ましくは、Cl2、N2
およびSF6を含むプロセスガスを使用し、SF6:(Cl2+N2)の体積流量比
は、約1:20から約1:1である。更に別の実施例では、適切なプロセスガス
は、好ましくは、Cl2、N2、およびNF3を含み、NF3:(Cl2+N2)の体
積流量比は、約1:20から約1:1である。
【0012】 方法は、多層を含む基板のエッチング、例えば、タングステンシリサイドとポ
リシリコン層を備えるポリサイド構造のエッチング、または二酸化ケイ素層上に
堆積された窒化ケイ素層をエッチングするのに特に有用である一方、同時に、エ
ッチングチャンバの二酸化ケイ素含有エッチング残留物を洗浄するのに特に有用
である。多層は、複数ステージのプロセスでエッチングされる。第1エッチング
ステージでは、第1エッチャントガスがチャンバへ導入され、第1層をエッチン
グするために第1エッチャントガスからプラズマが生成される。第2エッチング
ステージでは、第2エッチャントガスがチャンバへ導入され、第2層をエッチン
グするために第2エッチャントガスからプラズマが生成される。これらの1つ以
上のステージで、洗浄ガスが、エッチャントガスへ加えられ、両エッチングステ
ージでエッチングチャンバ表面上に形成された二酸化ケイ素のエッチング残留物
の全てを除去する洗浄プラズマを生成する。
【0013】 別の局面では、本発明の方法は、半導体基板を製造するのに有用である。この
方法では、基板をエッチングするエッチャントガスを含むプロセスガスが、チャ
ンバへ導入され、プラズマがエッチャントガスから生成され、基板をエッチング
する。基板がまだエッチングされている間に、洗浄ガスバーストが、プロセスガ
スへ加えられ、チャンバ表面を洗浄する。洗浄ガスは、エッチングプロセス中に
形成または堆積された実質的に全てのエッチング残留物を除去するのに充分な時
間にわたって加えられ、且つ洗浄ガスのエッチャントガスに対する体積流量比が
充分なほど高い。
【0014】 本発明のこれらのおよび他の特徴、局面、および有利点は、本発明の実施例を
説明する以下の図面、詳細な説明、および添付の特許請求項からより良く理解さ
れるであろう。以下の詳細な説明と図面は、本発明の実施例の特徴を説明するが
、特徴のおのおのは、本発明で単に特定の図面に沿ってではなく、一般的に使用
でき、および、本発明は、これらの特徴のどんな組合せも含むと理解されること
とする。
【0015】
【発明の実施の形態】
図2に略図示するように、本発明による基板25のエッチングに適した装置2
0は、基板を処理するためのプロセスゾーンを画成する密閉式プロセスチャンバ
30を備える。ロードロック搬送領域(図示せず)は、基板25のカセットを保
持するために低圧に維持される。ここに示す装置20の特定の実施の形態は、半
導体基板25の処理に適しておリ、本発明を説明するためにのみ提供され、本発
明の範囲を限定するために使用されてはならない。密閉式チャンバ30は、金属
、セラミック、ガラス、ポリマー、および複合材料を含む種々の材料の何れのひ
とつからでも製造される側壁45と底壁50を有する。エッチングチャンバ30
で画成されるプロセスゾーンは、基板25の直上にあり、基板を包囲し、少なく
とも約10,000cm3、より好ましくは約10,000から約50,000 cm3の容積を備える。チャンバは、平坦または方形形状、アーチ形、円錐形、 ドーム形状、または多数半径ドーム形状である天井55を備える。好ましくは、
天井55は、プラズマプロセスゾーンの全容積にわたりプラズマソースの均一な
分布を提供するドーム形状である。ドーム形天井55は、平坦な天井よりも基板
の中心60から遠く、基板25近傍での解離イオンの再結合損失を低減でき、平
坦な天井よりも基板面にわたってより均一なプラズマイオン密度を提供する。ド
ーム天井55は、基板25上でドーム形状面を提供する、平坦ドーム、円錐、頭
を切った円錐、円筒、または、そのような形状の組合せであり得る。
【0016】 プロセスガスは、ガス分配システム65によりチャンバ30内へ導入され、ガ
ス分配システムは、プロセスガス供給源70、および、ガス流量制御バルブ80
を操作する通常のコンピュータシステム75を備えるガス流量制御システムを含
む。ガス分配システム65は、(図示のように)基板25を中心とする周囲に配
置されるガス放出口85、または、そこに放出口を持つチャンバ30の天井に取
付けられるシャワーヘッド(図示せず)を備えることができる。第2のガス供給
システム200は、洗浄ガスをチャンバ30へ電子的に操作されるバルブ205
を介して供給する。消費されたプロセスガスとエッチャントの副生成物は、チャ
ンバ30で約10-3 mTorr の最低圧力を達成する能力を持つ排気装置90(普 通には1000リットル/秒のあら引きポンプを含む)によりプロセスチャンバ
30から排出される。スロットルバルブ95は、排気装置90に備えられ、消費
されたプロセスガスの流れとチャンバ30でのプロセスガスの圧力を制御する。
好ましくは、非対称のポンプチャネル100が、チャンバ30からガスを排出す
るのに使用され、基板の表面105のまわりでガス核種のより対称で均一な分布
を提供する。
【0017】 プラズマは、電界をチャンバのプロセスゾーン内へ結合するプラズマ生成器1
10を使用して、チャンバ30内に導入されるプロセスガスから生成される。適
切なプラズマ生成器110は、プロセスチャンバ30の中心を通り、基板25の
平面と垂直に延在する長手方向垂直軸と一致する中央軸と円対称を有するひとつ
以上のインダクタコイルから成るインダクタアンテナ115を備えている。好ま
しくは、インダクタアンテナ115は、1から10巻、より普通には2から6巻
を有するソレノイドコイルを備えている。ソレノイドコイルの編成と数量は、天
井55近傍で電流とアンテナの巻きとの所望の積(d/dt)(N*I)を提供
するよう選定され、本明細書中に引用して組み込む米国特許出願第08/648
,254号に記載されているように、プラズマへの密結合を持つ強力な誘導フラ
ックス連結を提供し、それによって基板25に隣接するプラズマゾーンで、より
大きなプラズマイオン密度を提供する。インダクタアンテナ115がドーム天井
55近傍に配置される場合、チャンバ30の天井は、機械加工した二酸化ケイ素
のスラブ、または湾曲形状を提供するよう相互接合されるシリコンまたは二酸化
ケイ素のタイル等のRF電界に透過である誘電材料を備える。好ましくは、プロ
セスチャンバ30の側壁45のまわりに巻かれるインダクタコイル115は、基
板の中心60直上にプラズマイオン密度を増加させる「平坦」ドーム形状を有す
る多半径のドーム形状インダクタコイルであり、何故なら、イオン密度がインダ
クタコイル115近傍の局所イオン化により影響され、多半径インダクタコイル
が、半球形コイルより基板の中心60に近いためである。別の好ましい実施の形
態では、天井55は、少なくとも中心半径Rとコーナー半径rを有する多半径ド
ームを備え、ここで、rは中心半径Rより小さく、R/rは約2から約10であ
る。
【0018】 プラズマゾーンで形成されるプラズマは、磁気的に増強されるリアクタを使用
して増強もでき、そこでは、永久磁石または電磁コイル等の磁界発生器(図示せ
ず)が、プラズマゾーンに磁界を印加してプラズマの密度と均一性を向上するよ
う使用される。好ましくは、磁界は、本明細書中に引用して組み込む1989年
6月27日発行の米国特許第4,842,683号に記載されるように、基板の
面に平行に回転する磁界の軸を持つ回転磁界を備える。チャンバでの磁界は、プ
ラズマにおいて形成されるイオンの密度を増加するよう充分強く、CMOSゲー
ト等のフィーチャに対する帯電 (charge-up) 損傷を低減するよう充分均一でな くてはならない。一般に、基板面上で測定される磁界は、約500ガウス未満、
より普通には、約10から約100ガウス、最も普通には、約10ガウスから約
30ガウスである。
【0019】 インダクタアンテナ115に加えて、1つ以上のプロセス電極が、チャンバ3
0でプラズマイオンを加速するか、或いはエネルギー供給するよう使用できる。
プロセス電極は、チャンバの天井55および/または側壁45等のプロセスチャ
ンバ30の壁を形成する第1の電極120を含む。第1の電極120は、基板2
5の下の第2の電極125へ静電容量結合されている。電極電圧源155は、第
1と第2の電極120と125を相互に対して異なる電位に維持するRF電位を
供給する。インダクタアンテナ115へ印加されるRF電圧の周波数は、普通に
は約50kHzから60MHzであり、より普通には、約13.56MHzであ
り;アンテナへ印加されるRF電圧のパワーレベルは、約100から約5000
ワットである。
【0020】 密閉式チャンバ30は、異なる機能を果たす1つ以上のセラミック面を備える
。例えば、好ましい実施の形態の1つでは、プロセスチャンバの壁45、50、
55は、炭化ボロン、窒化ボロン、ケイ素、酸化ケイ素、炭化ケイ素、または窒
化ケイ素等のセラミック材料で被覆され、特定のエッチャントガス組成での化学
的侵食から壁を保護する。例えば、炭化ボロンは、フッ素化されたガス環境にお
いてチャンバの側壁45を侵食から保護するために有用である。別の例として、
サファイア(酸化アルミニウム)のガス分配板は、プロセスガスをチャンバ30
内へ放出するのに使用できる。チャンバがセラミック面を含む場合、エッチング
残留物は、酸化アルミニウム、窒化アルミニウム、ケイ素、酸化ケイ素のセラミ
ック面等のチャンバ30におけるいくつかのセラミック面(例えば、高度に反応
性の表面官能基を有するモノリシックセラミック部材135の表面)と反応でき
るために、エッチング残留物を除去するのに困難である。例えば、酸化アルミニ
ウムまたは窒化アルミニウム面は、酸素または水分に曝露される場合、エッチン
グ残留物と化学的に反応するAl−OH表面官能基を形成し、チャンバ表面また
は構成要素上に硬い密着性の皮膜を形成する。
【0021】 プロセスチャンバ30で有用な別のセラミック面は、その上に基板25を受止
めるためのセラミックの受止め面140を有するモノリシックセラミック部材1
35のセラミック面である。適切なセラミック材料は、酸化アルミニウム、窒化
アルミニウム、炭化ボロン、窒化ボロン、ケイ素、酸化ケイ素、炭化ケイ素、窒
化ケイ素、および酸化チタンのうちのひとつ以上を含む。第2の電極125は、
セラミック部材135に埋設され、それにより、セラミック材料が完全に第2電
極を包囲し、一体のモノリシックセラミック部材を形成する。第2の電極125
は、タングステン、タンタル、またはモリブデン等の電気的導体材料から製作さ
れる。埋設された第2電極125は、「ホット」RF電位が印加される導体であ
り、チャンバ30での他の電極は、第2の電極125に対して、電気的接地、ま
たは浮動電位を含み、異なる電位に維持される。それは一体のセラミック部材1
35に埋設されているために、第2の電極125は、追加の絶縁体遮蔽によりチ
ャンバ30から電気的に隔離される必要が無く、それによって、それ以外では結
果として第2の電極125と接地されたチャンバの壁45、50との間に生じる
であろうチャンバ30での寄生静電容量インピーダンス負荷を低減する。第2の
電極125は、静電チャック145としても役割し、それは、第2の電極125
へ接続するようセラミック部材135を貫通して挿入される電導体150を介し
て印加されるDCチャッキング電圧を使用して、セラミック部材135の受止め
面140へ基板25を静電的に保持するための静電気電荷を生成する。
【0022】 第1と第2の電極120、125は、電極電圧源155により相互に対して電
気的にバイアスされ、電圧源は、第2の電極125へプラズマ生成RF電圧を供
給するためのAC電圧源160と、電極125へチャックする電圧を供給するた
めのDC電圧源165とを含んでいる。AC電圧源160は、チャンバ30で静
電容量結合のプラズマを形成するよう、13.56MHzから400kHzの周
波数の1つ以上を有するRF生成電圧を供給する。電極125へ印加されるRF
バイアス電流のパワーレベルは、普通には、約50から約3000ワットである
。別のDC電圧が電極125へ印加され、基板25をチャック145へ保持する
静電気電荷を形成する。RFパワーは、ブリッジ回路と電気的フィルタへ結合さ
れ、電極125へDCチャックするパワーを供給する。
【0023】
【エッチングおよび洗浄プロセス】
基板25上のひとつ以上の層をエッチングし、同時にエッチングチャンバを洗
浄する、エッチングチャンバ30の操作を以下に説明する。基板25は、普通に
は、シリコンまたはガリウム砒素のウェーハ等の半導体材料を備え、例えば、M
OSトランジスタ用のゲート酸化物層として機能する二酸化ケイ素の下層と、タ
ングステンシリサイドと下地にあるポリシリコン層との組合せであるポリサイド
の上層とを含む複数の層を備える。基板25上の金属シリサイド層は、例えば、
タングステン、タンタル、チタン、またはモリブデンのシリサイドを備える。ポ
リシリコン層は、金属シリサイド層の下、および二酸化ケイ素層の上にある。フ
ォトレジスト、または二酸化ケイ素または窒化ケイ素のハードマスク等のパター
ン化されたマスク層が、基板25上に形成される。マスク層間の基板の露出した
部分は、エッチングされ、例えば、MOSトランジスタ用のゲート電極を製作す
るためのコンタクトホール;普通にはゲート電極として使用されるポリサイドの
配線フィーチャ;および、絶縁する酸化/窒化ケイ素層により分離された2つ以
上の導電層を電気的に接続するのに使用される多層金属構造であるバイア等の、
フィーチャを形成する。別の実施例では、基板は、(ドープまたはアンドープの
)シリコン基板上に窒化ケイ素層または酸化ケイ素層を備える。
【0024】 本発明のプロセスを実行するために、基板25は、ロボットアーム170を使
用して、ロードロック・トランスファチャンバからスリットバルブを介してチャ
ンバ30内へ搬送される。リフトフィンガー組立体(図示せず)は、チャック1
45でリフトフィンガー開口を介し延在するリフトフィンガーを有し、基板25
をチャック145へ受取り、または、そこから持上げる。ロボットアーム170
は、チャック145の表面に約2から5cm伸びるよう圧搾空気持上げ機構18
0により持上げられるリフトフィンガーのチップ(図示せず)上に基板25を配
置する。圧搾空気機構は、コンピュータシステムの制御の下で、基板25を静電
チャック145上へ降ろし、ヘリウムが、チャックでの開口175を介し供給さ
れて、基板25の温度を制御する。
【0025】 基板をエッチングするエッチャントガスを含むプロセスガスは、ガス放出口8
5を介しチャンバ30に導入され、チャンバは、普通には、約0.1から約40
0 mTorr に及ぶ圧力に維持される。基板25上の層をエッチングするための適 切なエッチャントガスは、例えば、HCl、BCl3、HBr、Br2、Cl2、 CCl4、SiCl4、SF6、F、NF3、HF、CF3、CF4、CH3F、CH F3、C222、C246、C26、C38、C49、C2HF5、C410、C
2Cl2、CFCl3、O2、N2、He、およびその混合気を含む。エッチャン トガスは、高エッチレート、およびエッチングされている特定層の高い選択的エ
ッチングを提供するよう選定される。多層が順次エッチングされる場合、第1、
第2、第3、等のエッチャントガス組成が、各特定層をエッチングするためにチ
ャンバへ順次導入される。図2を参照すると、プラズマは、チャンバ30内へ誘
導的および/または静電容量的に結合するエネルギーによるプラズマ生成器11
0を使用して、エッチャントガスからエネルギー供給される。例えば、プラズマ
は、チャンバ30を取囲むインダクタアンテナ115へRFソース電流を印加し
、電極120、125へRFバイアス電圧を印加することにより形成できる。エ
ッチャントガスは、印加される電界でイオン化して、イオンおよび中性粒子を形
成し、それは、基板25上の層をエッチングして、チャンバ30から排出される
揮発性のガス核種を形成する。
【0026】 本プロセスは、基板25上のひとつ以上の層のエッチング、および、エッチン
グプロセスが行なわれているプラズマエッチングチャンバ30の同時洗浄を、エ
ッチングプロセスを停止することなく可能にする。ひとつ以上のエッチングプロ
セスステージでは、いずれかひとつのエッチングステージで形成されたエッチン
グ残留物;または全てのエッチングステージで形成された残留物が、エッチング
プロセス中に実質的完全に除去されるよう選定された体積比で、洗浄ガスがエッ
チャントガスへ追加される。好ましくは、エッチャントガスは、Cl2、N2、O 2 、HBr、またはHe−O2のひとつ以上を含み;洗浄ガスは、NF3、CF4
またはSF6のひとつ以上を含む。これらのガスの組合せは、独特の、予期しな いエッチングと洗浄特性を提供することがわかった。
【0027】 洗浄ガスのエッチャントガスに対する体積流量比は、基板のプロセス間にチャ
ンバ30を洗浄するための別の洗浄ステップを行なうことなく、少なくとも20
00枚の基板25、より好ましくは、少なくとも3000枚の基板を処理するこ
とから生成されるエッチャント残留物を除去するよう選定される。洗浄ガスのエ
ッチャントガスに対する適切な体積流量比は、約1:20から約1:1、より好
ましくは、約1:10から約2:3、最も好ましくは約2:3である。洗浄ガス
のエッチャントガスに対するこれらの体積流量比で、チャンバ表面45、50、
55上の実質的に全てのエッチャント残留物が、チャンバ表面を侵食することな
く、除去されることがわかった。加えて、チャンバ表面は、エッチャントおよび
洗浄ガスの組合せステップにより、別のチャンバコンディショニングまたはシー
ズニングステップを必要とせず、洗浄され、コンディショニングされることが予
期せずにわかった。基板25をエッチングすること、および(エッチングプロセ
スを終了することなく、または、別の洗浄またはコンディショニングのステップ
を必要とせず)チャンバ30において表面からエッチング残留物を同時に除去す
ることの相乗効果は、基板から基板へのプロセスの安定性の著しい改善、および
プロセス・スループットの著しい向上を提供する。
【0028】 本プロセスの実施例のひとつでは、金属シリサイド、またはシリコン(すなわ
ち、多結晶または単結晶シリコン)を備える基板25は、エッチングプロセス完
了時に、チャンバ表面上に形成された実質的に全てのエッチング残留物を除去す
るように選定される体積流量比で、Cl2、N2、およびCF4、および任意とし てO2を含むプロセスガスのプラズマを使用して、エッチングされる。好ましく は、Cl2、N2、およびCF4の体積流量比は、チャンバを洗浄するための別の 洗浄ステップを行なうことなく、チャンバ30で少なくとも2000枚の基板2
5をエッチングすることにより生成される実質的に全てのエッチャント残留物を
除去するよう選定される。CF4:(Cl2+N2)の体積流量比の割合は、より 好ましくは、約1:20から約1:1である。別の実施例では、プロセスは、C
2、N2、およびSF6を含むプロセスガスを使用し、好ましくは、SF6:(C
2+N2)の体積流量比は、約1:20から約1:1である。更に別の実施例で
は、適切なプロセスガスは、Cl2、N2、およびNF3を含み、好ましくは、N F3:(Cl2+N2)の体積流量比は、約1:20から約1:1である。
【0029】 洗浄ガスバーストが、他方で基板25がまだエッチャントガスによりエッチン
グされている間に、チャンバ表面を処理しコンディショニングするよう、短いバ
ースト時間の間エッチングチャンバ30内へ導入される。エッチャントガスを含
むプロセスガスが、チャンバ30内へ導入され、プロセスガスの組成は、基板上
の異なる材料の層のひとつ以上をエッチングするよう所望のエッチャントガス組
成に調整される。エッチングプロセス中に、短い洗浄ガスバーストが、他方で基
板がまだエッチングされている間に、プロセスガスへ追加される。例えば、洗浄
ガスバーストが、(ポリシリコン層の主たるエッチング中に)ポリシリコン層を
エッチングするのに使用されるエッチャントガスへ追加でき、ポリシリコンのオ
ーバーエッチングステップの前に終了できる。活性化洗浄ガスバーストは、新規
に堆積されたエッチング残留物を、それがチャンバ表面へ化学的に付着できる前
に、除去する高反応性の洗浄核種を大量に提供する。また、第1のエッチャント
ガス組成は、チャンバ表面へ弱く付着するか、または化学的に弱く、反応性の組
成を有する第1のエッチング残留物を生成できる;しかし、第2のエッチャント
ガスが、チャンバ内へ導入される場合に、第2のエッチャントガスは、第1のエ
ッチング残留物と反応でき、それを化学的に強固に粘着する残留物に成し得る。
これらのプロセスで、短い洗浄ガスバーストが、第1ステージのエッチングプロ
セス中に、チャンバ内へ導入され、新規に生成されたエッチング残留物を、それ
が別の化学的ガス組成に曝露されることにより化学的に変化される前に除去する
。大流量のバーストとチャンバ30からの洗浄ガスの迅速な除去は、チャンバ表
面および構成要素を侵食する他の化学的核種を形成する洗浄ガスの化合の可能性
も低減する。このメカニズムは、チャンバ30から反応副生成物を洗い流すガス
バーストの大流量により更に援助される。好ましくは、活性化洗浄ガスバースト
は、約40,000cm3の容積を有するエッチングチャンバ30に対して少な くとも約40から約200sccmの流量と同等の流量FRで、約5から約10 0秒の期間、エッチングチャンバ内に導入され、チャンバ30での壁45、50
、55、および構成要素を実質的に侵食することなく、エッチング残留物を洗浄
する。
【0030】 プロセスの完了後、基板25は、適切なデチャッキング手段を使用してデチャ
ックされる。圧搾空気リフト装置180は、静電チャック145を通るリフトピ
ンを上昇させて基板25を上昇させ、ロボット搬送アームは、基板25とチャッ
クとの間に挿入されて、基板をリフトピンから外し持上げる。その後、リフトピ
ンは、チャック145内へ引き込まれ、ロボットアームが、第1の基板25をエ
ッチングチャンバ30から真空環境に維持されるトランスファチャンバ内へ搬送
する。
【0031】
【多数エッチングステージ】
本プロセスの別の局面では、図3に図示するように、多数ステージが、基板上
の多層をエッチングするのに使用され、洗浄ガスが、少なくともひとつのステー
ジで導入され、多数のエッチングステップのひとつ以上でチャンバ表面上に堆積
されたエッチング残留物を除去する。このプロセスは、シリコンウェーハ上の、
例えば、タングステンシリサイドとポリシリコン層の多層を備えるポリサイド構
造をエッチングするか、または、窒化ケイ素層または二酸化ケイ素層をエッチン
グするために有用である。本プロセスは、そのような層のエッチングに沿って説
明されるが、プロセスは、金属層および二酸化ケイ素層のような他の層をエッチ
ングするのに使用できると理解されたい。従って、本プロセスは、ここに提供さ
れる実施例および図示の方法に限定されてはならない。
【0032】 多数ステージプロセスは、複数のエッチングステージを含む。第1のエッチン
グステージでは、第1のエッチャントガスがプロセスチャンバ30へ導入され、
プラズマが第1のエッチャントガスから形成され、基板25上の第1の層(例え
ば、タングステンシリサイド層)をエッチングする。第1の層つまりタングステ
ンシリサイド層は、普通には、塩素含有ガス、例えば、塩素と窒素の混合、およ
び任意として酸素を含む、第1のエッチャントプロセスガスを使用してエッチン
グされる。好ましくは、塩素の窒素に対する体積流量比は、約1:3から約1:
1、より好ましくは、約2:3である。チャンバ30での圧力は、1から10 m
Torr に維持され、インダクタコイルのソースパワーレベルは200から800 ワット、およびプロセス電極RFバイアスパワーレベルは20から120ワット
に維持される。
【0033】 第2のエッチングステージでは、第2のエッチャントガスが、第1の層がエッ
チングを完了した後に、チャンバ30内へ導入され、プラズマが形成され、第2
の層(例えば、タングステンシリサイド層の下のポリシリコン層)をエッチング
する。第2の層つまりポリシリコン層は、ひとつ以上のエッチングプロセスステ
ップでエッチングでき、各エッチングステップでエッチャントガスの組成が変更
される。適切な第2のエッチャントガス組成は、流量20から120sccmで
のCl2、約80から240sccmでHBr、約2から10sccmでHe− O2を含む。チャンバ圧力は、約25から200 mTorr である。インダクタアン
テナ115へ印加されるソース電流のパワーレベルは、約200から800ワッ
トであり、プロセス電極120、125へ印加される電圧のバイアスRFパワー
レベルは約20から120ワットである。
【0034】 第1および第2のステージのひとつ以上で、洗浄ガスは、ステージのどのひと
つでも形成されたエッチング残留物が、エッチングプロセス中に実質的完全に除
去されるよう選定される体積比でエッチャントガスへ加えられる。第1および第
2ステージで形成されるエッチング残留物は、普通には、ハロゲン、炭素、水素
、および酸素を含有するポリマーの有機化合物を含む。ケイ素含有層がエッチン
グされる場合、エッチャント残留物は、基板25上のタングステンシリサイドお
よびポリシリコン層の両方のエッチング中に形成される二酸化ケイ素化合物を主
に備える。しかし、エッチング残留物の組成は、第1から第2の層で変わり得て
、第1のエッチング残留物はより多くのWとSi核種を含み、第2のエッチング
残留物はより多くのSiとO核種を含む。従って、洗浄ガスは、タングステンシ
リサイド層がエッチングされた第1のエッチングステージで形成された第1のエ
ッチング残留物と、同じく、ポリシリコン層がエッチングされた第2のエッチン
グステージ中に形成された第2のエッチング残留物を除去するために適切な体積
比で、第1または第2のステージでプロセスガスへ追加される。洗浄ガスのエッ
チャントガスに対する適切な体積流量比は、約1:10から約2:3であること
がわかった。例えば、約80sccmのCl2と40sccmのN2の第1のエッ
チャントガスの流量へ、CF4を備える洗浄ガスが80sccmの流量で追加さ れ、洗浄ガスの第1のエッチャントガスに対する体積比の約2:3を提供した。
洗浄ガスのエッチャントガスに対するこれらの体積流量比では、チャンバ表面上
の実質的に全てのエッチャント残留物(それは、エッチングプロセスの異なるス
テージ中に形成された)がチャンバ表面を侵食することなく、除去されることが
わかった。加えて、チャンバ表面が、エッチャントおよび洗浄ガスの組合せによ
り、別のシーズニングまたはコンディショニングのプロセスステップを必要とす
ることなく、洗浄されコンディショニングされることが予期せずにわかった。
【0035】 本発明のチャンバ処理プロセスは、エッチング残留物を、その厚さまたは化学
量論比に関らず、エッチングプロセスの実行中に、均一に除去することがわかっ
た。従来技術の洗浄プロセス、特に作業者によって行なわれるプロセスは、チャ
ンバ表面上に形成されるエッチング残留物堆積物を均一に洗浄し除去することを
往々にしてできない。チャンバ表面上のエッチャント堆積物の蓄積は、エッチャ
ント堆積物の剥がれ落ちを生じ、チャンバでエッチングされる基板25の汚染と
なる。実質的にチャンバ表面の全てにわたり形成されるエッチング残留物を均一
に除去することにより、そのような汚染および結果としての基板25の歩留の減
少は、実質的に最少化される。
【0036】 洗浄ガスも、エッチングチャンバでのプラズマのエネルギーレベルの低下のた
めに、従来のin-situプラズマ洗浄ステップと比較して、チャンバに対する侵食 損傷の非常に少ない結果となる。これは、残留堆積物を除去するのに使用される
高エネルギーのプラズマが、チャンバ表面と構成要素の大規模な侵食の結果とも
なった従来技術のプロセスで達成することは困難であった。チャンバ構成要素を
交換する必要性を低減することにより、チャンバ30を稼動するコスト、および
基板25当りのコストは、著しく低減される。更に、活性化された洗浄ガスは、
プロセスを停止してチャンバ壁および構成要素を湿式洗浄するのでなく、基板2
5のエッチング中にin-situでチャンバ30を効果的に洗浄するのに使用でき、 それにより、エッチングのスループットを向上し、基板当りのコストを更に低減
する。洗浄プロセスは、チャンバの寿命を少なくとも2の係数まで向上させ、剥
げ落ちたエッチャント副生成物の基板上への堆積を低減することにより基板の歩
留も向上すると予測される。
【0037】 洗浄プロセスは、化学的に付着するエッチャント堆積物をチャンバ30の表面
から除去し、洗浄プロセスの後にチャンバのコンディショニングプロセスを必要
とすることなく、これらの表面の本来の化学的反応性と表面の官能基を回復する
。洗浄および処理プロセスは、チャンバ表面へ強固に付着し、またはチャンバ表
面と化学的に反応されたエッチング残留物を洗浄するためにも特に有用である。
洗浄ガスは、これらのセラミック面を処理し再コンディショニングすることに効
果的であり、エッチングプロセスと化学的に両立する表面の化学的性質および表
面の官能基を提供する。コンディショニングされたセラミック面は、湿式洗浄ま
たはRIE洗浄プロセスのような、代替のチャンバ洗浄プロセスによって提供さ
れるものよりも、チャンバ30においてより再現性のあるエッチング特性を提供
する。チャンバ30で行なわれるエッチングプロセスの再現性の著しい改善は、
非常に望ましい。
【0038】
【実施例1】 [チャンバを洗浄せずに行なった、3000枚のウェーハの連続エッチング] 以下の実施例は、本発明によるエッチングと洗浄のプロセスの有効性を実証す
るものである。これらの実施例では、Santa Clara, California の Applied Mat
erials から市販で入手可能な、Silicon DPS プロセスチャンバを使用して基板 上のポリサイド層をエッチングした。これらの実施例において、基板は、300
0Åのポリシリコンと3000ÅのWSiXを含むポリサイド層で覆われた10 00ÅのSiO2下地層を含むシリコンウェーハを含んでいた。3000枚を超 えるウェーハを、エッチングチャンバ30内で順次エッチングして、フィーチャ
のエッチング特性、エッチングレート、およびエッチング選択比を、その時々に
測定した。
【0039】 タングステンシリサイドのエッチングプロセスでは、80sccmのCl2と 40sccmのN2を含む、流量の第1のエッチャントガスを使用した。第1の エッチャントガスへCF4を含む洗浄ガスを80sccmの流量で加えた、これ は、洗浄ガスのエッチャントガスに対する約2:3の体積流量比を提供する。チ
ャンバ内の圧力を4 mTorr に、インダクタコイルのソースパワーレベルを60 0ワットに、そしてプロセス電極のR.F.バイアスパワーレベルを60ワット
に維持した。
【0040】 基板上の二酸化ケイ素下地層をエッチング貫通することなくエッチングプロセ
スを停止するために、基板25上のポリシリコン層を主エッチングステージと「
オーバーエッチ」ステージを含む2ステージでエッチングした。主エッチングス
テージは、ポリシリコン層が完全にエッチング貫通する直前に停止し、オーバー
エッチステージは、ポリシリコン層の残余部をエッチング貫通するよう実施した
。通常通り、エッチャントガスのハロゲン含有量をオーバーエッチステージで減
らして、よりゆっくりした、より制御可能なエッチレートを得た。例えば、主エ
ッチングステージでは、ポリシリコン層を、60sccmのCl2と、140s ccmのHBrと、5sccmのHe−O2とを含むエッチャントガスを使用し て、インダクタアンテナ115へ印加するソース電流のパワーレベルを480ワ
ット、プロセス電極120、125へ印加する電圧のバイアスRFパワーレベル
を64ワットでエッチングした。オーバーエッチプロセスステージで、エッチャ
ントガスは90sccmのHBrと5sccmのHe−O2を含み、チャンバ圧 力は50 mTorr 、ソースパワーレベルは400ワット、RFバイアスパワーレ ベルは140ワットであった。
【0041】 予期していなかった発見の1つは、エッチャントガスと洗浄ガスの組合せが、
プロセスの第1のステージで、ポリシリコンに対するタングステンシリサイドの
エッチングに関して、予期しない高いエッチングレートとエッチング選択比を提
供したことであった。図4aは、エッチングチャンバで連続して処理した300
0枚のウェーハに対するタングステンシリサイドとポリシリコンのエッチレート
を示す。従来技術のエッチングプロセスは、そのような大量のウェーハを処理し
た後の、チャンバ表面上のエッチング残留堆積物を原因とする、エッチングレー
トとエッチング選択比の変動、そしてより高い粒子汚染レベルにより、200〜
300枚のウェーハの処理後に、チャンバの洗浄と表面のコンディショニングが
必要であった。対照的に、本発明のエッチングと同時洗浄プロセスは、チャンバ
25を洗浄せずに、3000枚のウェーハの連続エッチング中、一貫して高くて
均一な、タングステンシリサイドのエッチレート3000Å/分およびエッチレ
ート変動約1.7%を提供した。同様に、ポリシリコンのエッチレートは、約2
350Å/分の高いレートを維持した。
【0042】 エッチャントガスと洗浄ガスは、3000枚を超えるウェーハのプロセスに対
して、タングステンシリサイドとポリシリコンをエッチングするために均一で一
貫したエッチングレートも提供したことが分かった。タングステンシリサイドと
ポリシリコンのエッチレートの均一性を、3000枚のウェーハのプロセスサイ
クルに対して測定した。タングステンシリサイドのエッチレートは、8%未満で
変動し、ポリシリコンのエッチレートは2%未満で変動した。加えて、エッチャ
ントガスと洗浄ガスは、ポリシリコンに対してタングステンシリサイドをエッチ
ングするためのエッチング選択比1.2を提供し、これは、普通には0.6〜0
.8である、従来技術のポリサイドのエッチングプロセスのエッチング選択比よ
り少なくとも50%高いことが分かった。例えば、図4bは、平均のタングステ
ンシリサイドのポリシリコンに対するエッチング選択比の範囲が、3000枚の
ウェーハのバッチに対して、約1.3:1であることを示す。加えて、エッチン
グ選択比は、3000枚全てのウェーハのエッチングに対し、ウェーハから次の
ウェーハへの変動が僅かに約0.02であった。
【0043】 プロセスの第2ステージ中に、二酸化ケイ素に対するポリシリコンの非常に高
いエッチレートとエッチング選択比を得たこと、および、ポリシリコンのエッチ
レートは、3000枚のウェーハのエッチングに対して比較的均一であったこと
が、更に分かった。図5aに示すように、2204Å/分のポリシリコンのエッ
チレートを達成し、168Å/分という極端に低い二酸化ケイ素のエッチレート
を得た。この結果は、ポリシリコンの酸化ケイ素に対する13:1という非常に
高い平均エッチング選択比を提供し、これは、上のポリシリコン層のエッチング
中に、下地の二酸化ケイ素層が全くエッチングされないことを意味する。この高
エッチング選択比は、下地の電気絶縁性二酸化ケイ素層のオーバーエッチングと
貫通を防止するために大変望ましい。図5bは、基板上のポリシリコン層をエッ
チングするためのエッチングレートの安定性と均一性を更に実証するものである
。全3000枚のウェーハバッチプロセス中に、ポリシリコンのオーバーエッチ
レート1855Å/分を達成し、ポリシリコンのオーバーエッチレートの均一性
は6%未満であることが分かった。
【0044】 図6に示すように、ウェーハ上の、エッチド・フィーチャに関する限界寸法の
変動も測定した。従来のエッチングプロセスは、集積回路設計時にエッチド・フ
ィーチャの電気的特性を決定するのに使用される、エッチド・フィーチャの所定
の望ましい寸法である限界寸法を維持することが往々にしてできない。最新の集
積回路では、配線の線幅やコンタクトプラグの直径は、より高い回路密度を許容
するために、0.25ミクロンよりますます小さくなってきている。これらフィ
ーチャの電気抵抗は、エッチド・フィーチャの断面積に比例するので、フィーチ
ャから次のフィーチャへ変動することなく、一貫した均一な寸法を維持すること
が重要である。フィーチャの間隔の関数として変化する、テーパのついた断面お
よび断面プロファイルは、これらの集積回路ではもはや受入れられない。
【0045】 限界寸法は、普通には、レジスト・フィーチャの幅Wrとエッチド・フィーチ
ャの幅Weの比または差として測定される。エッチド・フィーチャの幅がレジス
トの幅に近いほど、エッチド・フィーチャの電気的特性は、予測がより可能とな
り、信頼性が高まる。本実施例では、図6に示すように、高密度で詰め込まれた
基板のフィーチャ部分(これは、緊密な間隔のエッチド・フィーチャを有する)
は、約0.4ミクロンの限界寸法(CD)、および約0.04ミクロンの限界寸
法バイアスを示した。限界寸法の3σ標準偏差レベルは、ウェーハから次のウェ
ーハで、約0.009ミクロンであり、これも極端に小さく、基板全体にわたっ
て均一で一貫したエッチング特性を示すものであった。
【0046】 チャンバ30での3000枚を超えるウェーハのエッチング中に、チャンバ表
面上に形成されたエッチング残留物からの剥離汚染粒子を、所定の時間間隔、例
えば25枚の基板25から成る各ロットのエッチング完了後に測定した。粒子汚
染は、TENCOR Surfscan 6200 を使用して3mmの端を除き測定した場合、ほぼ 一様になる。図7aは、80sccmのCF4、80sccmのCl2、および4
0sccmのN2を含むプロセスガスをチャンバ30へ流入させた場合の、汚染 粒子の平均カウントを示す。汚染粒子のカウントは、平均で約8.8であった。
これは、従来のエッチングプロセスで得られる従来技術のアダー (Adder) カウ ント約60粒子よりも5〜6倍低かった。図7bは、80sccmのCF4、8 0sccmのCl2、および40sccmのN2を含むプロセスガスのプラズマに
対するアダー汚染粒子レベルを示し、この場合、平均カウント5.7を得た、こ
れは、従来技術プロセスより10倍低かった。アダー粒子カウントに加えて、エ
ッチングチャンバ表面をエッチャント残留堆積物につき目視検査した。3000
枚のウェーハのエッチング後であっても、チャンバ表面上に残されたエッチング
残留物は無く、チャンバ表面は全く清浄であることが分かった。従来技術のプロ
セスで、チャンバを洗浄せずにエッチングしたウェーハ枚数の約3から10倍で
ある3000枚のウェーハのエッチング後でさえ、チャンバ壁を洗浄、シーズニ
ング、または表面コンディショニングするために、乾式または湿式の洗浄プロセ
スは実質的に必要ではないことが更に分かった。
【0047】
【実施例2-7】 [高エッチング選択比と高エッチングレートを持つ比較実施例] これらの実施例では、SiO2、ポリシリコン、WSix、およびレジストの連
続層を有するシリコンウェーハを、Cl2−He−O2の混合ガスと、追加のCF 4 の洗浄ガスが有る場合と無い場合とでエッチングした。表1は、基本プロセス およびCF4追加プロセスで使用したプロセス条件を、エッチング選択比、およ びWSixとポリシリコンのエッチングレートについて測定した結果と共に示す 。この実施例全てにおいて、エッチャントガスは100sccmのCl2を含ん でいた。追加のエッチャントガスおよび洗浄ガスは、表1に記載のように、He
−O2とオプションのCF4を含む。チャンバ内の圧力は、4または9 mTorr に 維持し、ソースパワーレベルを300または500ワット、プロセス電極R.F
.バイアスパワーレベルを60ワット、および基板温度を50°Cに維持した。
エッチド・フィーチャの特性、エッチングレート、およびエッチング選択比を、
通常のSEM法を使用して測定した。
【0048】
【表1】
【0049】 表1は、実施例3と7で、Cl2、He−O2、およびCF4の組合せは、レジ ストに対するタングステンシリサイドのエッチングのために、約1.1より大き
い高エッチング選択比を予期せずに提供したことを実証している。このエッチン
グ選択比は、Cl2、またはCl2とHeO2だけを使用した実施例2と6の従来 のエッチングプロセスが提供した、約0.8〜0.9であった比より20%高い
【0050】 表1は、更に、実施例3、5と7で、Cl2、He−O2、およびCF4の組合 せは、ポリシリコンに対するタングステンシリサイドのエッチングのために、約
1.2より大きい高エッチング選択比も予期せずに提供したことを証明している
。これらのエッチング選択比は、Cl2、またはCl2とHeO2のみを使用して 、0.8〜0.9のエッチング選択比を提供した実施例2と6のエッチングプロ
セスより50%高かった。
【0051】 また、従来技術のエッチングプロセスは結果として、基板表面にわたる、WS
xとポリシリコンのエッチングレートに著しい変動をもたらした。対照的に、 本発明のエッチングと洗浄のプロセスは、基板にわたり一貫した、高くて均一な
、タングステンシリサイドとポリシリコンのエッチレートを提供した。表1に示
すように、WSix層に対するエッチレートの均一性での変動は、実施例2と6 で実証したように、従来プロセスの3%超〜3.5%のエッチング変動と対照的
に、2%程度と低かった(実施例5)。ポリシリコン層に対するエッチレートの
均一性での変動は、実施例2と6で実証したように、従来プロセスのエッチング
変動2.6%超〜3%とは対照的に、2.2%程度と低かった(実施例3)。こ
れらの実施例では、WSixとポリシリコンのエッチレートの均一性は: (最大エッチレート−最小エッチレート)/(2x平均エッチレート)x100
% から得られる。エッチレートは、WSixとポリシリコンのエッチド・フィーチ ャのSEM断面マイクログラフ上の複数点から測定した。
【0052】
【実施例8】 この実施例では、図1cと1dに示すように、二酸化ケイ素層34上の窒化ケ
イ素層32をエッチングし、(下地のシリコン36の浅いトレンチ38の隔離に
先立って)マスクを形成した。Cl2、O2、およびSF6のプラズマを使用して 窒化ケイ素層32をエッチングする一方、同時にチャンバ壁を洗浄した。浅いト
レンチの隔離は、シリコン基板36に浅いトレンチ38を形成すること、次いで
同じシリコン基板に形成された2つ以上の近隣のデバイス(図示せず)を隔離す
るよう酸化ケイ素またはポリシリコンでそれを充填することを含む。これらのト
レンチ形成において、窒化ケイ素層32を、シリコン基板36上の二酸化ケイ素
層34の上に堆積し、通常のフォトリソグラフィ技術を使用してパターン化し、
次いで、本発明のプロセスによりエッチングし、窒化ケイ素のマスクを形成する
。シリコン基板をエッチングして浅いトレンチを形成し、次に、それを酸化ケイ
素またはポリシリコンで充填する。その後、MOSFETデバイスを標準のCM
OSプロセス法を使用して形成する。
【0053】 好ましくは、Cl2:O2:SF6の体積流量は、二酸化ケイ素に対して窒化ケ イ素をエッチングするための高エッチング選択比を提供し、チャンバを洗浄する
ための別の洗浄ステップを行うことなく、少なくとも2000枚の基板をチャン
バでエッチングすることにより生成される実質的に全てのエッチャント残留物を
除去するように選定される。窒化ケイ素の高エッチレートが達成され、窒化ケイ
素のエッチレートは、大量ウェーハの連続エッチングに対して比較的均一であっ
たことが更に分かった。窒化ケイ素の酸化ケイ素に対する高エッチング選択比は
、上にある窒化ケイ素層のエッチング中に、下地の二酸化ケイ素層が実質的にエ
ッチングされないことを意味する。高エッチング選択比は、下にある電気絶縁性
二酸化ケイ素層のオーバーエッチングと「貫通」を防止するために望ましい。
【0054】 本発明は、その一定の好ましいバージョンを参照して説明された。しかし、他
のバージョンも可能である。例えば、本発明の処理と洗浄のプロセスは、通常の
熟練者には明らかであろうように、他の用途の処理チャンバに対して使用できる
。例えば、このプロセスは、この技術での通常の熟練者に明らかであろうように
、スパッタチャンバ、イオン注入チャンバ、または堆積チャンバを処理するため
に、または、他の洗浄プロセスとの組合せに、適用できる。従って、付帯する特
許請求項の精神と範囲は、本明細書に含まれる好ましいバージョンの説明に限定
されるものではない。
【図面の簡単な説明】
【図1】 aは、二酸化ケイ素、ポリシリコン、金属シリサイド、およびレジスト層を有
する基板の断面略図である。 bは、金属シリサイドとポリシリコン層のエッチング後の、図1aの基板の断
面略図である。 cは、窒化ケイ素のマスク層を有する、シリコンまたはポリシリコン基板の断
面略図である。 dは、シリコンまたはポリシリコンでのトレンチのエッチング後の、図1cの
基板の略断面図である。
【図2】 本発明のエッチング装置の断面の略側面図である。
【図3】 基板をエッチングし、エッチングチャンバとその構成要素の表面を同時に洗浄
するのに使用されるプロセスステップのフローチャートである。
【図4】 aは、チャンバを洗浄することなく3000枚のウェーハのバッチを処理する
ことに対する、WSixとポリシリコンのエッチレートを示すグラフである。 bは、チャンバを洗浄することなく3000枚のウェーハのバッチを処理する
ことに対する、WSixのポリシリコンに対するエッチング選択比を示すグラフ である。
【図5】 aは、チャンバを洗浄することなく3000枚のウェーハのバッチを処理する
ことに対する、ポリシリコンと二酸化ケイ素のエッチレートを示すグラフである
。 bは、チャンバを洗浄することなく3000枚のウェーハのバッチを処理する
ことに対する、ポリシリコンのオーバーエッチレート安定度を示すグラフである
【図6】 ウェーハ上のエッチド・フィーチャに対する限界寸法の変動を示すグラフであ
る。
【図7】 aは、チャンバを洗浄することなく3000枚のウェーハのバッチを処理する
ことに対する、平均のアダー (Adder) 粒子レベルを示すグラフである。 bは、チャンバを洗浄することなく3000枚のウェーハのバッチを処理する
ことに対する、平均のアダー (Adder) 粒子レベルを示すグラフである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 スン, ズィ−ウェン アメリカ合衆国, カリフォルニア州, サン ノゼ, デストリー コート 126 (72)発明者 ジアン, ウェイナン アメリカ合衆国, カリフォルニア州, サン ノゼ, エストラスカン ドライヴ 3089 (72)発明者 チェン, アーサー,ワイ. アメリカ合衆国, カリフォルニア州, フリーモント, ボデガ コート 744 (72)発明者 イン, ジェラルド, ゼヤオ アメリカ合衆国, カリフォルニア州, クパティノ, ビリチ プレイス 10132 (72)発明者 ヤン, ミン−スン 台湾, タイペイ, フォリン ストリー ト, ロン 120, ナンバー126, 3エ フ (72)発明者 クオ, ミン−スン 台湾, キールン, チェン−イ ロード 54 (72)発明者 ミュイ, デイヴィッド, エス. エ ル. アメリカ合衆国, カリフォルニア州, サン ノゼ, シェリー アン サークル 1848 (72)発明者 チン, ジェフリー アメリカ合衆国, カリフォルニア州, フォスター シティ, セント クロワッ クス レーン 605 (72)発明者 パン, シャオファー, エックス. アメリカ合衆国, カリフォルニア州, サン ノゼ, ケレッツ ドライヴ 1133 (72)発明者 ワン, シクン アメリカ合衆国, カリフォルニア州, サニーヴェイル, アヤラ ドライヴ 1281 ナンバー2 Fターム(参考) 5F004 AA09 AA14 AA15 BA09 BA20 BB18 BB25 BB29 CA01 CA02 CA06 DA00 DA01 DA02 DA03 DA04 DA05 DA11 DA16 DA17 DA18 DA22 DA25 DA26 DA29 DB02 DB03 DB17 EA28 EA38 5F033 HH04 HH27 HH28 HH29 HH30 MM07 QQ08 QQ09 QQ10 QQ12 QQ15 QQ28 QQ91 RR04 RR06 WW00 WW04 WW06

Claims (31)

    【特許請求の範囲】
  1. 【請求項1】 同時に、基板をエッチングするとともにチャンバを洗浄する
    方法であって: (a)前記チャンバ内に基板を配置するステップと; (b)同時に、前記基板をエッチングするとともに前記チャンバの表面に形成さ
    れるエッチング残留物を洗浄するよう前記チャンバ内へ導入されるプロセスガス
    からプラズマを形成するステップと;を含み、前記プロセスガスは、(i)前記
    基板をエッチングするエッチャントガスであって、基板をエッチングすることに
    よって前記チャンバ表面上にエッチング残留物を堆積させるエッチャントガスと
    、(ii)前記チャンバ表面上に堆積される前記エッチング残留物を洗浄する洗
    浄ガスとを含み、前記洗浄ガスのエッチャントガスに対する体積流量比は、前記
    エッチプロセスの完了時に前記エッチング残留物が前記チャンバ表面から実質的
    完全に除去されるように選定される; 方法。
  2. 【請求項2】 前記エッチャントガスは、Cl2、N2、O2、HBr、また はHe−O2の内の1つ以上を含み;前記洗浄ガスはNF3、CF4、またはSF6 の内の1つ以上を含む、 請求項1記載の方法。
  3. 【請求項3】 前記洗浄ガスのエッチャントガスに対する体積流量比が、前
    記チャンバを洗浄するための別の洗浄ステップを行なうことなく、前記チャンバ
    内で少なくとも2000枚の基板を処理することから生成される前記エッチャン
    ト残留物を除去するよう選定される、 請求項1記載の方法。
  4. 【請求項4】 前記洗浄ガスのエッチャントガスに対する体積流量比が約1
    :20から約1:1である、 請求項1記載の方法。
  5. 【請求項5】 前記洗浄ガスのエッチャントガスに対する体積流量比が、約
    1:10から約2:3である、 請求項4記載の方法。
  6. 【請求項6】 前記洗浄ガスが、約5から約100秒間にわたり前記チャン
    バ内へ導入される、 請求項1記載の方法。
  7. 【請求項7】 前記洗浄ガスは、約40,000cm3の容積を有するチャ ンバに対して少なくとも約40から約200sccmの流量と同等の流量FRで 、前記チャンバ内へ導入される、 請求項1記載の方法。
  8. 【請求項8】 エッチングチャンバ内で、基板上の金属シリサイド、窒化ケ
    イ素、ポリシリコン、または単結晶シリコンをエッチングする一方、同時に、前
    記エッチングチャンバを洗浄する方法であって: (a)前記エッチングチャンバ内に基板を配置するステップと; (b)前記基板上の前記金属シリサイド、ポリシリコン、または単結晶シリコン
    をエッチングし、前記エッチングチャンバの表面の前記エッチング残留物を同時
    に洗浄するよう、前記エッチングチャンバに導入される1つ以上のプロセスガス
    からプラズマを形成するステップと;を含み、プロセスガスは、(i)前記基板
    をエッチングするエッチャントガスであって、基板をエッチングすることによっ
    て前記エッチングチャンバ表面上に二酸化ケイ素のエッチング残留物を堆積する
    エッチャントガスと、(ii)前記二酸化ケイ素エッチング残留物を除去する洗
    浄ガスとを含み、前記洗浄ガスのエッチャントガスに対する体積流量比は、前記
    エッチプロセス完了時に前記エッチングチャンバ表面から全ての前記二酸化ケイ
    素エッチング残留物を除去するよう選定される; 方法。
  9. 【請求項9】 前記エッチャントガスが、Cl2、N2、O2、HBr、また はHe−O2の内の1つ以上を含み;前記洗浄ガスはNF3、CF4、またはSF6 の内の1つ以上を含む、 請求項8記載の方法。
  10. 【請求項10】 前記洗浄ガスのエッチャントガスに対する体積流量比が、
    前記チャンバを洗浄するための別の洗浄ステップを行なうことなく、前記チャン
    バ内で少なくとも2000枚の基板を処理することから生成される前記エッチャ
    ント残留物を除去するよう選定される、 請求項8記載の方法。
  11. 【請求項11】 前記洗浄ガスのエッチャントガスに対する体積流量比が、
    約1:20から約1:1である、 請求項8記載の方法。
  12. 【請求項12】 前記洗浄ガスが、約5から約100秒間にわたり前記エッ
    チングチャンバ内へ導入される、 請求項8記載の方法。
  13. 【請求項13】 チャンバ内で基板をエッチングする一方、同時に、前記チ
    ャンバを洗浄する方法であって: (a)前記チャンバ内に第1と第2の層を有する基板を配置するステップと; (b)第1のエッチングステージで、前記エッチングチャンバ内へ第1のエッチ
    ャントガスを導入し、前記第1の層をエッチングするために前記第1のエッチャ
    ントガスからプラズマを形成するステップと; (c)第2のエッチングステージで、前記エッチングチャンバ内へ第2のエッチ
    ャントガスを導入し、前記第2の層をエッチングするために前記第2のエッチャ
    ントガスからプラズマを形成するステップと; (d)前記第1および第2のエッチングステージの両方で形成される、前記エッ
    チングチャンバ表面上の前記二酸化ケイ素エッチング残留物の全てを除去する洗
    浄プラズマを形成するために、前記第1と第2のステージの一方で、前記第1ま
    たは第2のエッチャントガスへ洗浄ガスを追加するステップと;を含む、 方法。
  14. 【請求項14】 前記第1および第2のエッチャントガスは、Cl2、N2
    2、HBr、またはHe−O2の内の1つ以上を含み;前記洗浄ガスは、NF3 、CF4、またはSF6の内の1つ以上を含む、 請求項13記載の方法。
  15. 【請求項15】 前記洗浄ガスのエッチャントガスに対する体積流量比が、
    前記チャンバを洗浄するための別の洗浄ステップを行なうことなく、前記チャン
    バ内で少なくとも2000枚の基板を処理することから生成される前記エッチャ
    ント残留物を除去するよう選定される、 請求項13記載の方法。
  16. 【請求項16】 前記洗浄ガスのエッチャントガスに対する体積流量比が、
    約1:20から約1:1である、 請求項13記載の方法。
  17. 【請求項17】 前記洗浄ガスが、約5から約100秒間にわたり前記エッ
    チングチャンバ内へ導入される、 請求項13記載の方法。
  18. 【請求項18】 半導体基板を製造する方法であって: (a)プロセスチャンバのプロセスゾーンへ基板を配置するステップと; (b)前記基板をエッチングするエッチャントガスを含む1つ以上のプロセスガ
    スを前記プロセスゾーン内へ導入し、基板をエッチングするよう前記プロセスガ
    スからプラズマを生成するステップと; (c)前記基板が依然エッチングされている間に、前記プロセスガスへ洗浄ガス
    のバーストを追加するステップと;を含み、前記プロセスガスにより堆積される
    実質的に全ての前記エッチング残留物と反応し除去するのに充分な時間にわたり
    前記洗浄ガスが供給され、且つ前記洗浄ガスのエッチャントガスに対する体積流
    量比が充分なほど高い; 方法。
  19. 【請求項19】 前記エッチャントガスは、Cl2、N2、O2、HBr、ま たはHe−O2の内の1つ以上を含み;前記洗浄ガスは、NF3、CF4、または SF6の内の1つ以上を含む、 請求項18記載の方法。
  20. 【請求項20】 前記洗浄ガスのエッチャントガスに対する体積流量比は、
    約1:20から約1:1である、 請求項18記載の方法。
  21. 【請求項21】 前記洗浄ガスは、約5から約100秒間にわたり前記プロ
    セスチャンバ内へ導入される、 請求項18記載の方法。
  22. 【請求項22】 前記洗浄ガスのバーストは、約40,000cm3の容積 を有するプロセスチャンバに対して少なくとも約40から約200sccmの流
    量と同等の流量FRで、前記プロセスチャンバ内へ導入される、 請求項18記載の方法。
  23. 【請求項23】 同時に、基板をエッチングするとともにエッチングチャン
    バを洗浄する方法であって: (a)前記エッチングチャンバ内に基板を配置するステップであって、前記基板
    がケイ素含有材料を含む、ステップと; (b)前記基板をエッチングし、同時に、前記チャンバの表面上に形成されるエ
    ッチング残留物を洗浄するよう前記エッチングチャンバ内へ導入されるプロセス
    ガスからプラズマを形成するステップと;を含み、前記プロセスガスは、前記エ
    ッチングプロセス完了時に、前記チャンバ表面上に形成される実質的に全ての前
    記エッチング残留物を除去するよう選定される体積流量比で、Cl2、N2、およ
    びCF4を含む; 方法。
  24. 【請求項24】 前記Cl2、N2、およびCF4の体積流量比が、前記チャ ンバを洗浄するための別の洗浄ステップを行なうことなく、前記チャンバ内で少
    なくとも2000枚の基板をエッチングすることにより生成される実質的に全て
    の前記エッチャント残留物を除去するよう選定される、 請求項23記載の方法。
  25. 【請求項25】 前記CF4:(Cl2+N2)の体積流量比の割合が、約1 :20から約1:1である、 請求項23記載の方法。
  26. 【請求項26】 同時に、基板をエッチングするとともにエッチングチャン
    バを洗浄する方法であって: (a)前記エッチングチャンバ内に基板を配置するステップであって、前記基板
    がケイ素含有材料を含む、ステップと; (b)前記基板をエッチングし、同時に、前記チャンバの表面上に形成されるエ
    ッチング残留物を洗浄するよう前記エッチングチャンバ内へ導入されるプロセス
    ガスからプラズマを形成するステップと;を含み、前記プロセスガスは、前記エ
    ッチングプロセス完了時に、前記チャンバ表面上に形成される実質的に全ての前
    記エッチング残留物を除去するよう選定される体積流量比で、Cl2、N2、およ
    びSF6を含む; 方法。
  27. 【請求項27】 前記Cl2、N2、およびSF6の体積流量比が、前記チャ ンバを洗浄するための別の洗浄ステップを行なうことなく、前記チャンバ内で少
    なくとも2000枚の基板をエッチングすることにより生成される実質的に全て
    の前記エッチャント残留物を除去するよう選定される、 請求項26記載の方法。
  28. 【請求項28】 前記SF6:(Cl2+N2)の体積流量比の割合は、約1 :20から約1:1である、 請求項26記載の方法。
  29. 【請求項29】 同時に、基板をエッチングするとともにエッチングチャン
    バを洗浄する方法であって: (a)前記エッチングチャンバ内に基板を配置するステップであって、前記基板
    がケイ素含有材料を含む、ステップと; (b)前記基板をエッチングし、同時に、前記チャンバの表面上に形成されるエ
    ッチング残留物を洗浄するよう前記エッチングチャンバ内へ導入されるプロセス
    ガスからプラズマを形成するステップと;を含み、前記プロセスガスは、前記エ
    ッチングプロセス完了時に、前記チャンバ表面上に形成される実質的に全ての前
    記エッチング残留物を除去するよう選定される体積流量比で、Cl2、N2、およ
    びNF3を含む; 方法。
  30. 【請求項30】 前記Cl2、N2、およびNF3の体積流量比が、前記チャ ンバを洗浄するための別の洗浄ステップを行なうことなく、前記チャンバ内で少
    なくとも2000枚の基板をエッチングすることにより生成される実質的に全て
    の前記エッチャント残留物を除去するよう選定される、 請求項29記載の方法。
  31. 【請求項31】 前記NF3:(Cl2+N2)の体積流量比の割合は、約1 :20から約1:1である、 請求項29記載の方法。
JP2000519917A 1997-11-12 1998-10-15 自動洗浄エッチングプロセス Pending JP2001523044A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/969,122 1997-11-12
US08/969,122 US6136211A (en) 1997-11-12 1997-11-12 Self-cleaning etch process
PCT/US1998/021865 WO1999025015A1 (en) 1997-11-12 1998-10-15 Self-cleaning etch process

Publications (1)

Publication Number Publication Date
JP2001523044A true JP2001523044A (ja) 2001-11-20

Family

ID=25515212

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000519917A Pending JP2001523044A (ja) 1997-11-12 1998-10-15 自動洗浄エッチングプロセス

Country Status (6)

Country Link
US (2) US6136211A (ja)
EP (1) EP1029345A1 (ja)
JP (1) JP2001523044A (ja)
KR (1) KR100530246B1 (ja)
TW (1) TW506019B (ja)
WO (1) WO1999025015A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007529895A (ja) * 2004-03-16 2007-10-25 ラム リサーチ コーポレーション セルフクリーニング式ドライエッチング用システム、方法、並びに、装置
JP2007311431A (ja) * 2006-05-16 2007-11-29 Nec Corp 積層膜パターン形成方法及びゲート電極形成方法
JP2010515264A (ja) * 2006-12-29 2010-05-06 ラム リサーチ コーポレーション ウエハ端部の処理方法及び処理装置
JP2010118371A (ja) * 2008-11-11 2010-05-27 Shin-Etsu Chemical Co Ltd ドライエッチング装置のクリーニング方法、ドライエッチング時間の決定方法、及びドライエッチング方法
JP2012033877A (ja) * 2010-07-30 2012-02-16 Samsung Electronics Co Ltd 薄膜トランジスタ表示板の製造方法
KR20190124900A (ko) * 2018-04-27 2019-11-06 주성엔지니어링(주) 기판 처리 장치 및 이 장치를 이용한 기판 처리 방법

Families Citing this family (392)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
KR100257903B1 (ko) * 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
US6093655A (en) 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6235213B1 (en) * 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6010967A (en) * 1998-05-22 2000-01-04 Micron Technology, Inc. Plasma etching methods
US6277759B1 (en) 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6242347B1 (en) 1998-09-30 2001-06-05 Applied Materials, Inc. Method for cleaning a process chamber
US6399516B1 (en) * 1998-10-30 2002-06-04 Massachusetts Institute Of Technology Plasma etch techniques for fabricating silicon structures from a substrate
US6374833B1 (en) * 1999-05-05 2002-04-23 Mosel Vitelic, Inc. Method of in situ reactive gas plasma treatment
US6583471B1 (en) * 1999-06-02 2003-06-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having first and second insulating films
US6431112B1 (en) * 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6395095B1 (en) * 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6808647B1 (en) * 1999-07-12 2004-10-26 Applied Materials Inc Methodologies to reduce process sensitivity to the chamber condition
FR2797715B1 (fr) * 1999-07-13 2006-08-25 Ibm Procede de gravure plasma d'une couche de polysilicium au traver d'une couche de si02 gravee
US6318381B1 (en) * 1999-07-13 2001-11-20 Micron Technology, Inc. Methods of cleaning vaporization surfaces
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6358788B1 (en) * 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
JP4381526B2 (ja) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 プラズマエッチング方法
JP2001135630A (ja) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp 半導体装置の製造方法
JP2001156045A (ja) 1999-11-26 2001-06-08 Kawasaki Steel Corp 半導体装置の製造方法および製造装置
US6759337B1 (en) * 1999-12-15 2004-07-06 Lsi Logic Corporation Process for etching a controllable thickness of oxide on an integrated circuit structure on a semiconductor substrate using nitrogen plasma and plasma and an rf bias applied to the substrate
US6869885B1 (en) * 1999-12-17 2005-03-22 Koninklijke Philips Electronics N.V. Method for a tungsten silicide etch
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6300251B1 (en) * 2000-02-10 2001-10-09 Chartered Semiconductor Manufacturing Ltd. Repeatable end point method for anisotropic etch of inorganic buried anti-reflective coating layer over silicon
EP1256127A1 (en) * 2000-02-18 2002-11-13 Applied Materials, Inc. Self-cleaning process for etching silicon-containing material
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6544887B1 (en) * 2000-03-31 2003-04-08 Lam Research Corporation Polycide etch process
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP3593492B2 (ja) * 2000-06-13 2004-11-24 株式会社日立製作所 プラズマ処理方法
JP2002025986A (ja) * 2000-07-06 2002-01-25 Matsushita Electric Ind Co Ltd ドライエッチング方法
US6573192B1 (en) * 2000-09-21 2003-06-03 Infineon Technologies Ag Dual thickness gate oxide fabrication method using plasma surface treatment
US6716303B1 (en) * 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US6585830B2 (en) * 2000-11-30 2003-07-01 Agere Systems Inc. Method for cleaning tungsten from deposition wall chambers
US6821900B2 (en) * 2001-01-09 2004-11-23 Infineon Technologies Ag Method for dry etching deep trenches in a substrate
EP1235265A1 (en) * 2001-02-23 2002-08-28 Infineon Technologies AG Method for etching a hardmask layer and a metal layer
US6362098B1 (en) * 2001-02-28 2002-03-26 Motorola, Inc. Plasma-enhanced chemical vapor deposition (CVD) method to fill a trench in a semiconductor substrate
JP2002280365A (ja) 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6577113B2 (en) 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
KR100595069B1 (ko) * 2001-06-15 2006-06-30 동경 엘렉트론 주식회사 드라이 에칭 방법
KR100799069B1 (ko) * 2001-06-20 2008-01-29 동부일렉트로닉스 주식회사 웨이퍼 식각장치 및 식각방법
KR100702723B1 (ko) * 2001-06-22 2007-04-03 동경 엘렉트론 주식회사 드라이 에칭 방법
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
JP2003068705A (ja) * 2001-08-23 2003-03-07 Hitachi Ltd 半導体素子の製造方法
TW511215B (en) * 2001-10-15 2002-11-21 Macronix Int Co Ltd Inspection method for dynamic particle contaminant state of the etching chamber
US20030092280A1 (en) * 2001-11-09 2003-05-15 Applied Materials, Inc. Method for etching tungsten using NF3 and Cl2
US6606802B2 (en) 2001-11-30 2003-08-19 Micron Technology Inc. Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6814814B2 (en) * 2002-03-29 2004-11-09 Applied Materials, Inc. Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
US6921723B1 (en) * 2002-04-23 2005-07-26 Applied Materials, Inc. Etching method having high silicon-to-photoresist selectivity
US6784062B2 (en) * 2002-06-03 2004-08-31 Micron Technology, Inc. Transistor formation for semiconductor devices
DE10226604B4 (de) * 2002-06-14 2006-06-01 Infineon Technologies Ag Verfahren zum Strukturieren einer Schicht
DE10226603A1 (de) 2002-06-14 2004-01-08 Infineon Technologies Ag Verfahren zum Strukturieren einer Siliziumschicht sowie dessen Verwendung zur Herstellung einer integrierten Halbleiterschaltung
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
JP3905462B2 (ja) * 2002-11-20 2007-04-18 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US6903028B2 (en) * 2002-11-29 2005-06-07 1St Silicon (Malaysia) Sdn Bhd Soft-landing etching method using doping level control
KR20040048019A (ko) * 2002-12-02 2004-06-07 주성엔지니어링(주) 실리콘 에피텍셜층 형성방법
JP2004221313A (ja) * 2003-01-15 2004-08-05 Kawasaki Microelectronics Kk 半導体製造工程の管理方法および半導体製造ラインの管理システム
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US20050072444A1 (en) * 2003-10-03 2005-04-07 Shigeru Shirayone Method for processing plasma processing apparatus
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7202170B2 (en) * 2004-01-20 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving etching profile of floating gates for flash memory devices
US7288284B2 (en) * 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7820553B2 (en) * 2005-07-20 2010-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Prevention of trench photoresist scum
US20070074741A1 (en) * 2005-09-30 2007-04-05 Tokyo Electron Limited Method for dry cleaning nickel deposits from a processing system
CN101473073B (zh) * 2006-04-26 2012-08-08 高级技术材料公司 半导体加工系统的清洁
KR100653217B1 (ko) * 2006-05-29 2006-12-04 주식회사 아이피에스 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
US7754610B2 (en) * 2006-06-02 2010-07-13 Applied Materials, Inc. Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
US7993465B2 (en) * 2006-09-07 2011-08-09 Applied Materials, Inc. Electrostatic chuck cleaning during semiconductor substrate processing
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP4755963B2 (ja) * 2006-10-30 2011-08-24 株式会社東芝 半導体装置の製造方法
US20080102642A1 (en) * 2006-10-31 2008-05-01 United Microelectronics Corp. Method of seasoning idle silicon nitride etcher and method of activating
US20080142039A1 (en) * 2006-12-13 2008-06-19 Advanced Technology Materials, Inc. Removal of nitride deposits
US7946759B2 (en) * 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
JP5095242B2 (ja) * 2007-03-08 2012-12-12 株式会社日立ハイテクノロジーズ プラズマ処理方法
US20090032880A1 (en) * 2007-08-03 2009-02-05 Applied Materials, Inc. Method and apparatus for tunable isotropic recess etching of silicon materials
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
TWI619153B (zh) 2008-02-11 2018-03-21 恩特葛瑞斯股份有限公司 在半導體處理系統中離子源之清洗
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
CN203746815U (zh) 2011-03-01 2014-07-30 应用材料公司 用于处理基板的腔室
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
KR20120120729A (ko) * 2011-04-25 2012-11-02 에스케이하이닉스 주식회사 반도체장치의 금속패턴 제조 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN106847737B (zh) 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) * 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) * 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9966312B2 (en) * 2015-08-25 2018-05-08 Tokyo Electron Limited Method for etching a silicon-containing substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
WO2018057493A1 (en) * 2016-09-21 2018-03-29 Tokyo Electron Limited Method of patterning intersecting structures
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102575017B1 (ko) * 2016-11-17 2023-09-05 삼성디스플레이 주식회사 유리 기판의 결함 검출 방법
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6928548B2 (ja) * 2017-12-27 2021-09-01 東京エレクトロン株式会社 エッチング方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202105505A (zh) * 2019-02-22 2021-02-01 日商東京威力科創股份有限公司 電漿蝕刻製程
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115198352B (zh) * 2022-08-24 2024-03-26 西安奕斯伟材料科技股份有限公司 一种外延生长方法及外延晶圆

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5782955A (en) * 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
CA1204525A (en) * 1982-11-29 1986-05-13 Tetsu Fukano Method for forming an isolation region for electrically isolating elements
AU544534B2 (en) * 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4490209B2 (en) * 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
JPH0824114B2 (ja) * 1984-11-09 1996-03-06 株式会社日立製作所 プラズマエッチング方法
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
US5219485A (en) 1985-10-11 1993-06-15 Applied Materials, Inc. Materials and methods for etching silicides, polycrystalline silicon and polycides
JPH0740566B2 (ja) * 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US5354416A (en) 1986-09-05 1994-10-11 Sadayuki Okudaira Dry etching method
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4863561A (en) * 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
EP0272143B1 (en) * 1986-12-19 1999-03-17 Applied Materials, Inc. Bromine etch process for silicon
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4867841A (en) * 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
JPS6432627A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
JPS6450427A (en) 1987-08-21 1989-02-27 Hitachi Ltd Plasma processing
US4876212A (en) * 1987-10-01 1989-10-24 Motorola Inc. Process for fabricating complimentary semiconductor devices having pedestal structures
US4799991A (en) * 1987-11-02 1989-01-24 Motorola, Inc. Process for preferentially etching polycrystalline silicon
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US5084126A (en) * 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
US5035768A (en) * 1989-11-14 1991-07-30 Intel Corporation Novel etch back process for tungsten contact/via filling
US4992134A (en) 1989-11-14 1991-02-12 Advanced Micro Devices, Inc. Dopant-independent polysilicon plasma etch
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
DE69126149T2 (de) 1990-01-22 1998-01-02 Sony Corp Trockenätzverfahren
US5110411A (en) * 1990-04-27 1992-05-05 Micron Technology, Inc. Method of isotropically dry etching a poly/WSix sandwich structure
US5013398A (en) * 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
EP0463373A3 (en) * 1990-06-29 1992-03-25 Texas Instruments Incorporated Local interconnect using a material comprising tungsten
JP2964605B2 (ja) * 1990-10-04 1999-10-18 ソニー株式会社 ドライエッチング方法
US5094712A (en) * 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5160407A (en) * 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5338398A (en) * 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
US5164330A (en) * 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5431772A (en) * 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
JP3210359B2 (ja) * 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
JP3253675B2 (ja) * 1991-07-04 2002-02-04 株式会社東芝 荷電ビーム照射装置及び方法
US5358601A (en) * 1991-09-24 1994-10-25 Micron Technology, Inc. Process for isotropically etching semiconductor devices
DE4132559A1 (de) * 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
US5318668A (en) * 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
US5176792A (en) * 1991-10-28 1993-01-05 At&T Bell Laboratories Method for forming patterned tungsten layers
US5192702A (en) * 1991-12-23 1993-03-09 Industrial Technology Research Institute Self-aligned cylindrical stacked capacitor DRAM cell
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
DE4202158C1 (ja) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5282899A (en) * 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
DE69320963T2 (de) * 1992-06-22 1999-05-12 Lam Res Corp Plasmareinigungsverfahren zum entfernen von rückständen in einer plasmabehandlungskammer
US5188980A (en) * 1992-07-06 1993-02-23 United Microelectronics Corporation Inert gas purge for the multilayer poly gate etching improvement
JP3334911B2 (ja) 1992-07-31 2002-10-15 キヤノン株式会社 パターン形成方法
US5256245A (en) * 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
JP3227522B2 (ja) * 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
US5413954A (en) * 1992-11-10 1995-05-09 At&T Bell Laboratories Method of making a silicon-based device comprising surface plasma cleaning
JP3277394B2 (ja) * 1992-12-04 2002-04-22 ソニー株式会社 半導体装置の製造方法
JP3259380B2 (ja) * 1992-12-04 2002-02-25 ソニー株式会社 半導体装置の製造方法
WO1994028578A1 (fr) 1993-05-20 1994-12-08 Hitachi, Ltd. Procede de traitement au plasma
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
JPH0729879A (ja) * 1993-06-24 1995-01-31 Sony Corp 半導体装置の製造方法
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5382316A (en) * 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JPH07147271A (ja) * 1993-11-26 1995-06-06 Nec Corp 半導体装置の製造方法
US5620615A (en) * 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
JPH0864559A (ja) 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
US5521119A (en) * 1994-07-13 1996-05-28 Taiwan Semiconductor Manufacturing Co. Post treatment of tungsten etching back
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5514622A (en) * 1994-08-29 1996-05-07 Cypress Semiconductor Corporation Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5529197A (en) * 1994-12-20 1996-06-25 Siemens Aktiengesellschaft Polysilicon/polycide etch process for sub-micron gate stacks
US5665203A (en) * 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JPH0982687A (ja) 1995-09-19 1997-03-28 Mitsubishi Electric Corp 半導体装置の製造方法
US5644153A (en) * 1995-10-31 1997-07-01 Micron Technology, Inc. Method for etching nitride features in integrated circuit construction
US5626775A (en) * 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5874363A (en) * 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US5700741A (en) 1996-05-20 1997-12-23 Vanguard International Semiconductor Corporation Plasma purge method for plasma process particle control
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JPH1023387A (ja) 1996-07-04 1998-01-23 Ekushingu:Kk Catvセンタ装置
JP3568749B2 (ja) 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
US5869401A (en) * 1996-12-20 1999-02-09 Lam Research Corporation Plasma-enhanced flash process
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5866483A (en) * 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6270634B1 (en) 1999-10-29 2001-08-07 Applied Materials, Inc. Method for plasma etching at a high etch rate

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007529895A (ja) * 2004-03-16 2007-10-25 ラム リサーチ コーポレーション セルフクリーニング式ドライエッチング用システム、方法、並びに、装置
JP2007311431A (ja) * 2006-05-16 2007-11-29 Nec Corp 積層膜パターン形成方法及びゲート電極形成方法
JP2010515264A (ja) * 2006-12-29 2010-05-06 ラム リサーチ コーポレーション ウエハ端部の処理方法及び処理装置
JP2010118371A (ja) * 2008-11-11 2010-05-27 Shin-Etsu Chemical Co Ltd ドライエッチング装置のクリーニング方法、ドライエッチング時間の決定方法、及びドライエッチング方法
JP2012033877A (ja) * 2010-07-30 2012-02-16 Samsung Electronics Co Ltd 薄膜トランジスタ表示板の製造方法
KR20190124900A (ko) * 2018-04-27 2019-11-06 주성엔지니어링(주) 기판 처리 장치 및 이 장치를 이용한 기판 처리 방법
KR102656520B1 (ko) * 2018-04-27 2024-04-12 주성엔지니어링(주) 기판 처리 장치 및 이 장치를 이용한 기판 처리 방법

Also Published As

Publication number Publication date
KR20010032030A (ko) 2001-04-16
US6699399B1 (en) 2004-03-02
TW506019B (en) 2002-10-11
KR100530246B1 (ko) 2005-11-22
WO1999025015A1 (en) 1999-05-20
EP1029345A1 (en) 2000-08-23
US6136211A (en) 2000-10-24

Similar Documents

Publication Publication Date Title
US6699399B1 (en) Self-cleaning etch process
US6872322B1 (en) Multiple stage process for cleaning process chambers
US6787054B2 (en) Two-stage etching process
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US6322714B1 (en) Process for etching silicon-containing material on substrates
US5980768A (en) Methods and apparatus for removing photoresist mask defects in a plasma reactor
US6379575B1 (en) Treatment of etching chambers using activated cleaning gas
TWI427684B (zh) 用於現場基底處理之方法及裝置
JP4907827B2 (ja) ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法
US6235640B1 (en) Techniques for forming contact holes through to a silicon layer of a substrate
US6008139A (en) Method of etching polycide structures
JPH0982687A (ja) 半導体装置の製造方法
KR20010049274A (ko) 종횡비가 높은 개구에 대한 반응성 플라즈마 에칭 세정
US7122125B2 (en) Controlled polymerization on plasma reactor wall
EP0933802A1 (en) Process for the production of semiconductor device
US6492279B1 (en) Plasma etching methods
JP4060450B2 (ja) ドライエッチング方法
US20010049196A1 (en) Apparatus for improving etch uniformity and methods therefor
Jeon et al. Cleaning of wafer edge, bevel and back-side with a torus-shaped capacitively coupled plasma
JP2002289589A (ja) エッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050809

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080805

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081105

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081112

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081205

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20081205

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090908