JP4907827B2 - ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法 - Google Patents

ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法 Download PDF

Info

Publication number
JP4907827B2
JP4907827B2 JP2001573536A JP2001573536A JP4907827B2 JP 4907827 B2 JP4907827 B2 JP 4907827B2 JP 2001573536 A JP2001573536 A JP 2001573536A JP 2001573536 A JP2001573536 A JP 2001573536A JP 4907827 B2 JP4907827 B2 JP 4907827B2
Authority
JP
Japan
Prior art keywords
substrate
chamber
plasma
etching
edge ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001573536A
Other languages
English (en)
Other versions
JP2003529931A (ja
Inventor
ツクィアング ニー,
健二 竹下
トム チョイ,
フランク, ワイ. リン,
ウェンリ コリソン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2003529931A publication Critical patent/JP2003529931A/ja
Application granted granted Critical
Publication of JP4907827B2 publication Critical patent/JP4907827B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

【0001】
発明の背景
発明の属する分野
本発明は、HBrを用いたポリシリコンのプラズマエッチングの方法及びプラズマエッチングの均一性を向上させるための装置に関する。
従来技術の説明
その中で、高周波(RF)源に結合されたアンテナが、プロセスチャンバ内でガスをプラズマ状態に活性化させるプラズマ処理システムが、U.S. Patent Nos.4,948,458、5,198,718、5,241,245、5,304,279、5,401,350、及び 5,571,366 に開示されている。このようなシステムでは、アンテナは、プロセスチャンバの外部に設置され、RFエネルギが誘電体壁又はウインドを通して、チャンバ内に供給される。このような処理システムは、エッチング、デポジション、レジスト剥離等の種々の半導体処理アプリケーションに用いられうる。このようなシステムが1バッチ分連続して処理される半導体基板をエッチングするプラズマに用いられる場合、1バッチ分の基板をエッチングする過程において、エッチング速度及び/又は均一性が変化しうる。そのようなエッチング速度/均一性の変動は、基板中でエッチングされた形状が許容し得る製品パラメータの範囲を超える可能性があるので、望ましくない。
【0002】
ポリシリコンのエッチング技術は、U.S. Patent Nos. 5,242,536、5,314,573、5,336,365、5,368,684、及び 5,763,327 に開示されている。これらのうちで、'536特許は、Cl2及びHeと共にHBrガスを用いた平行平板プラズマエッチャーにおける異方性のポリシリコンエッチングを開示している。'573特許は、HCl2及びCl2と共にHBrガスを用いた平行平板プラズマエッチャーにおける異方性のポリシリコンエッチングを開示している。'365特許は、第1工程でCl2と共にHBrガスを、第2工程でHBrとHeを用いた電子サイクロトロン共鳴(ECR)プラズマエッチャーにおける異方性のポリシリコンエッチングを開示している。'684特許は、HBrガス、HBrとCl2の混合ガス、又は、HBrとHClの混合ガスを用いたマグネトロンプラズマエッチャーにおける異方性のポリシリコンエッチングを開示している。'327特許は、Cl2、Br2又はHBr、He及びO2を用いたプラズマエッチャーにおけるポリシリコンエッチングを開示している。
【0003】
HBr単独で、又は、他のガスと組み合わせて用いたポリシリコンのエッチング技術は、U.S. Patent Nos. 5,160,407、5,180,464、5,560,804、5,591,664、5,665,203、5,670,018、5,792,692、5,801,077、5,804,489、5,861,343、5,932,115、及び5,994,234 に開示されている。
【0004】
U.S. Patent Nos. 6,022,809は、プラズマエッチングチャンバに用いられるコンポジットシャドーリング(composite shadow ring)を開示している。このコンポジットシャドーリングは、フッ素を含むプラズマのような気体プラズマが照射された場合に、汚染となる酸素ガスを発生させない挿入部を含む。シャドーリングは、ウエハが静電チャックに取り付けられたり、取り外されたり、及び、プラズマがチャンバのサイドウォールを取り囲むコイルアンテナによってチャンバ内にプラズマが生じるように、真空チャンバの底に移動可能に取り付けられる。シャドーリングは、二酸化珪素で作られた外側本体部と、シリコンで作られた内側リング状挿入部とを含む。スピンオングラス(SOG)層のビア・エッチングの間に、挿入部は、ビアオープニング内の高分子サイドウォール保護層を抑制し、又は、攻撃しうる酸素ガスの発生を抑えるものであり、それによってウエハの周辺端部近傍のタングステンプラグの損失を減少させる。
【0005】
従来技術には、ポリシリコンのエッチングプロセスを改善するための提案がなされており、純粋なシリコン材料を用いることによって、エッチング中の酸素の発生を抑える試みもなされているが、従来技術では、ポリシリコンのエッチング均一性を改善する必要がある。
発明の概要
本発明は、フッ素を含むガスを用いたクリーニング及びプラズマエッチングチャンバのシーズニング少なくとも一方の後に、プラズマエッチング速度の変動を最小限にして、一連の半導体基板を連続的に処理する方法を提供する。本発明に係る方法は、(a)プラズマエッチングチャンバ内の基板支持部材上に半導体基板を配置する工程と、(b)前記チャンバ内を真空に保つ工程と、(c)前記チャンバにHBrを含むエッチングガスを供給して、前記チャンバ内でプラズマを形成する前記エッチングガスを活性化することによって前記基板を処理する工程と、(d)前記チャンバから前記基板を取り除く工程と、(e)工程(a〜d)を繰り返すことによって、前記チャンバ内に追加された基板を連続的に処理する工程であって、当該エッチング工程は、前記基板を渡ってBrが消費される速度を相殺するのに十分な速度で、前記基板を取り囲む部材上のHとBrとの再結合速度を維持することによって、実行されるエッチング工程と、を含む。
【0006】
本発明の好適な形態によれば、前記半導体基板は、工程(c)中にHBrを用いてエッチングされたポリシリコン層を含んでもよく、及び/又は、前記チャンバは、工程(c)中に100mTorr以下の真空圧力に保たれる。工程(c)中に500ワット以下のRFバイアスが前記基板支持部材によって前記基板に印加されうる。
【0007】
好適な実施の形態によれば、前記エッチングガスは、誘電部材によって前記チャンバの内部から分離された平板アンテナ又は非平板アンテナによってプラズマ状態に活性化される。好適には、前記誘電部材は、前記基板支持部材と少なくとも同延であり、前記エッチングガスは、前記誘電部材内の少なくとも1つのガス吸気口によって、前記チャンバに供給される。
【0008】
本発明は、添付図面を参照してより詳細に示される。
好適な実施の形態の詳細な説明
本発明は、連続して処理される1バッチ分の半導体基板をエッチングする場合に、より再現性の高い結果を提供することができる改良型プラズマエッチング方法を提供する。特に、1バッチ分の半導体ウエハをエッチングする過程において、エッチング速度は、エッチングがインサイチューチャンバクリーニングによって先行されるか、或いは、製造ウエハを処理する前にチャンバを通して1つ以上の条件ウエハを流すことによってチャンバをシーズニングするかによって大きく変動しうる。本発明によれば、クリーニング又はシーズニング後のエッチング速度は、ウエハを取り囲むエッジリングの材料として炭化ケイ素を用いることによって、より均一化されることが驚いたことに明らかになった。
【0009】
本発明の方法は、個々の基板が連続して処理される、いかなる適当なプラズマエッチング反応装置でも実行されうる。好適なプラズマエッチング反応装置は、図1に示すような誘導結合プラズマ反応装置である。図に示すように、この装置は、チャンバ2、基板支持部材4、基板8を取り囲むエッジリング6、誘電ウインド10、誘導結合プラズマ源12、真空ポンプに通じる排気口14を備える。更に、誘電ウインド10内のガス吸気口18を通して、エッチングガスがチャンに供給される。プラズマ源は、適当なRF発生器20からのRFエネルギが供給される螺旋コイルのようなプレーナアンテナであるのが好ましく、基板支持部材は、基板に適当なRFバイアスを印加するRFエネルギが供給される電極を含むのが好ましい。エッジリング6は、全てCVD SiCであるか、焼結SiCのような混合材料であるか、又はSiCで覆われた他の材料であってもよい。しかしながら、チャンバ中のプラズマに晒されるエッジリングの全表面は、シリコンカーバイドで作られるのが好ましい。更に、電界効果を最小限にするために、エッジリング6は、基板8の露出表面の上に配置された上部表面を持つことができる。例えば、エッジリングの上端は、基板表面より1〜2mm上であってもよい。
【0010】
本発明によれば、シリコンカーバイドで作られたエッジリングによって、個々の半導体基板のバッチ処理中にエッチング速度を安定化することができる。更に、エッジリングは、RFバイアスによって生じるイオン照射に起因するデポジションビルドアップが起こらない状態に保たれうる。このようなセルフクリーニングは、エッジリング上のデポジションがエッチング速度ドリフトを生じさせるHとBrの再結合を変化させうるので、エッチング速度の安定性を維持するのに好適である。反応装置は、チャンバ内にプラズマを発生させるためのあらゆる適当な発生源(例えば、平行平板、誘導結合、ECR,マグネトロン、ヘリコン等)、及び、チャンバ内にエッチングガスを供給するためのあらゆる適当なガス供給器(例えば、ガスリング、シャワーヘッド、誘電ウインドを通して伸びるオープニングに搭載されたガスインジェクタ等)を備えうる。
【0011】
図1に示す実施形態では、真空処理チャンバは、基板に静電的に締め付ける力を提供する基板ホルダと、後ろがHeで冷却される間に基板の上部にプラズマを閉じ込めるエッジリングとを備えるのが好ましい。適当なRF源によって動作するプレーナアンテナのように、チャンバ内の高密度(例えば、少なくとも109ions/cm3)プラズマを維持するためのエネルギ源と、対応したRFインピーダンスマッチング回路とが、高密度プラズマを提供するようにチャンバ内への誘導結合RFエネルギに用いられうる。真空ポンプは、チャンバ内部を適当な圧力(例えば、50mTorr以下、典型的には1〜20mTorr)に保つのが好ましい。
【0012】
図1に示す反応装置は、アンテナ12とプロセスチャンバの内部との間に、均一な厚さで作られた実質的に平面の誘電ウインド10を備える。しかしながら、平面ではないアンテナ及び/又は平面ではない誘電ウインドのような、他のアンテナ及び/又はウインド構造が用いられてもよい。更に、実施形態では中央に配置されたガス吸気口18が誘電ウインド内に提供されているが、いかなる適当なガス供給機構が用いられてもよい。吸気口18は、1つ以上のガス供給器22からガスが供給されてもよい。基板ホルダは、基板を持ち上げるためのリフトピン機構(不図示)のような従来の機能を備えてもよい。
【0013】
本発明によれば、シリコンカーバイドエッジリングを用いることによって、HBr のエッチング均一性を改善しうることが分かっている。シリコンカーバイドエッジリングを他の材料と比較するために、水晶、窒化シリコン、及びアルミナを用いて、試験が行われた。これらの他の材料と比較すると、シリコンカーバイドエッジリングによって、フッ素ベースのガスの化学反応を用いたチャンバクリーニングの後、又は、製造ウエハをエッチングする前に条件ウエハが処理されるチャンバシーズニングの後に、より再現性の高いエッチング速度を提供する。ポリシリコンエッチングの間のHBrの解離率を計測するためにダイオードレーザが用いられた。試験は、チャンバシーズニング(6では、製造ウエハを処理する前にベアシリコンウェハが処理された)の後、及び、チャンバ(ここではチャンバは基板支持部材が取り外された間にフッ素ベースのクリーニングガスを用いてクリーニングされた)のウエハを用いないクリーニング(WAC)の後に、行われた。試験に用いられたプラズマエッチングチャンバは、LAM2300TMであり、水晶(quartz)、アルミナ(alumina)、及びシリコンカーバイド(silicon carbide)がエッジリング材料として用いられた。解離率は、以下の表に示される。
【0014】
【表1】
Figure 0004907827
【0015】
HBrを用いてポリシリコンをプラズマエッチングする間、シリコンは、Brと結合して、ガスとして除去される。従って、エッチングされるウエハの内側部分でのHBrの解離速度は、ウエハの外側周辺部と同じ速度を維持することが好ましい。しかしながら、異なるエッジリング材料によって、HとBrとが異なる速度で再結合するので、HとBrとの高い再結合率を提供するエッジリング材料がウエハの外側周辺部でのエッチング速度がウエハの中心でのエッチング速度と同じ速度を提供するために用いられうる。一方、エッジリングでの再結合率が低い場合は、ウエハの内側部分と比べて、ウエハの外側部分をエッチングするためにより多くのBrが利用可能であるので、結果として不均一なエッチングが生じる。
【0016】
本発明によれば、ポリシリコンエッチングの均一性は、ウエハのエッジでの再結合率を増加させることによって、改善されうる。特に、エッジリング材料は、再結合率を増加させるように選択され、エッジリングの幅は、この効果を高めるために増加されうる。例えば、200mmウエハをエッチングするために設計されたチャンバ内では、エッジリング幅は、ウエハ直径の少なくとも10%、即ち、200mmウエハの場合には、少なくとも20mm、好ましくは25mm又はそれ以上に選択されうる。
【0017】
本発明に係るシリコンカーバイドエッジリングによって、更なる利点を得ることができる。例えば、アルミニウム汚染源となりうるアルミナエッジリングと比べて、化学的に堆積されたSiC(CVD SiC)のように高純度のシリコンカーバイドで作られたエッジリングは、このような汚染の問題を生じない。フッ素ベースのクリーニングガスの化学反応によって化学的に腐食される水晶エッジリングと比べて、シリコンカーバイドエッジリングは、より化学的に安定である。更に、WAC又はチャンバシーズニングの後に、目標エッチング速度でポリシリコンエッチングを行うように望まれる場合は、シリコンカーバイドエッジリングは、水晶エッジリング、窒化シリコンエッジリング、又はアルミナエッジリングと比べて、少なくとも影響を受けたポリシリコンエッチング速度を提供する。
【0018】
本発明に係るプロセスは、純粋なHBr又は他のガスと混合したHBrを用いて行われうる。例えば、ポリシリコンのエッチングは、メインエッチングの間に、HBrを単独か、又は1つ以上の他のガスと混合して用いて行われ、ガスの化学反応は、オーバーエッチングの間に変化されうる。適当なガスの化学反応の例は、以下に示される。
【0019】
マスクされたシリサイド/ポリシリコン層のようなポリシリコンをエッチングする第1の実施形態によれば、メインエッチングは、アルゴン、ヘリウム、又は窒素のようにエッチング性のないガスと共に或いはこれらを用いないで、HBr及びCl2を用いて行われうる。必要に応じて、Cl2は、HClのような別のCl源と置き換えてもよい。プラズマ源への電力、ウエハ温度、RFバイアス、チャンバ真空度等のようなプロセスパラメータは、用いられるプラズマ反応装置のタイプに応じて設定されうる。誘導結合プラズマ源に対しては、アンテナ電力は200〜1000ワット、ウエハ温度は10〜100℃、チャンバ圧力は5〜50mTorr、RFバイアスは500ワット以下の範囲となりうる。オーバーエッチング工程では、ガスは、酸素とヘリウムを含むように変更されうる。例えば、オーバーエッチングは、HBr、Cl2、He及びO2を用いて行われうる。代わりに、オーバーエッチング工程中にCl2を止める。
【0020】
第2の実施形態によれば、ポリサイド薄膜は、ドープされたポリシリコンの下部層と、タングステンシリサイトの上部層とを含み、HBrとSF2を用いてエッチングされ、SF6を止めてHBrの流量を所望のレベルに調節することによってオーバーエッチングが行われうる。SF6に加えて、他のフッ素ガスを用いてもよく、このようなガスには、NF3、ClF3、F2、HF、C2F6、CHF3、CF4、CH2F6、C3F8、C4F8等が含まれる。更に、N2及び/又はO2は、サイドウォールの保護のために加えられ、アルゴン及び/又はヘリウム等のガスがスパッタリング、希釈又は冷却効果のために加えられうる。
【0021】
第3の実施形態によれば、ポリシリコンエッチングは、ポリシリコン層の上のいかなる表面酸素をも取り除く工程によって先行される。例えば、リソグラフィ用のマスクを剥す等の前工程の間にポリシリコン層の上に形成された表面酸素は、CF4のような適当なガスを用いて除去されうる。次に、300mmウエハ上のポリシリコンは、50〜300sccmのHBr、20〜150sccmのCl2、1〜20sccmのHe/O2混合物(例えば、70%Heと30%O2等)の混合物を用いてエッチングされうる。次の工程では、Cl2を止めて、第3の工程でオーバーエッチングを実現するために、Cl2を含まないHBrとHe/O2とのガス混合物を用いる間に、電力とチャンバ圧力とが減少されうる。
【0022】
第4の実施形態では、タングステンシリサイド層がCl2/O2混合物を用いてエッチングされ、タングステンシリサイド膜の下のドープされたポリシリコン層がHBr単独か、又はHBr/O2の混合物を用いてエッチングされうる。エッチング工程中は、プラズマ源の電力は、所望のプラズマ密度を提供するために調整されうる。例えば、プラズマ密度は、1x1010cm-3程度に調整されうる。しかしながら、1x109cm-3程度よりも低いプラズマ密度、或いは、少なくとも1x1011cm-3程度よりも高いプラズマ密度が用いられてもよい。
【0023】
前述のものは本願の原理、好適な実施形態、及び動作の方式について述べたものである。しかしながら、本発明は、前述の特定の実施形態に限られるように解釈されるべきではない。従って、上述の実施形態は、限定的なものではなく例示的なものとみなされるべきであり、請求項によって定義されるように、本願の範囲を逸脱しない限りにおいて、当業者によってこれらの実施形態が改良されえることが理解されよう。
【図面の簡単な説明】
【図1】 図1は、本発明の方法を実施するために用いられるプラズマエッチングチャンバの断面図を示す図である。

Claims (18)

  1. フッ素を含むガスを用いたクリーニング及びプラズマエッチングチャンバのシーズニングの少なくとも一方の後に、プラズマエッチング速度の変動を最小限にして、個々の半導体基板を連続的に処理する方法であって、
    (a)プラズマエッチングチャンバ内の基板支持部材上に半導体基板を配置する工程と、
    (b)前記チャンバ内を真空に保つ工程と、
    (c)前記チャンバにHBrを含むエッチングガスを供給し、前記チャンバ内でプラズマを形成する前記エッチングガスを活性化することによって、前記基板の露出したポリシリコン表面をエッチングする工程と、
    (d)前記チャンバから前記基板を取り除く工程と、
    (e)工程(a〜d)を繰り返すことによって、前記チャンバ内において追加の基板を連続的にエッチングする工程とを含み、前記エッチング工程は、前記基板を取り囲むシリコンカーバイドエッジリング上のHとBrとの再結合速度を、前記基板に渡ってBrが消費される速度分が相殺され基板のエッチングを均一にするのに十分な速度にするように実行され、
    プラズマにさらされる前記シリコンカーバイドエッジリングは前記ポリシリコン面に平行な平面において前記基板の各側に幅を有し、前記幅は前記平面において前記基板の最大の大きさの少なくとも10%であることを特徴とする方法。
  2. 前記半導体基板は、工程(c)中に純粋なHBrを用いてエッチングされるポリシリコン層を有するシリコンウェハであることを特徴とする請求項1に記載の方法。
  3. 前記チャンバは、工程(c)中に100mTorr以下の真空圧力に維持されることを特徴とする請求項1に記載の方法。
  4. RFバイアスがエッジリング上の堆積物の堆積を最小限にするように、前記基板支持部材によって前記RFバイアスが前記基板に印加されることを特徴とする請求項1に記載の方法。
  5. 前記エッチング工程は、前記チャンバをインサイチュークリーニング及びシーズニングの少なくとも一方の工程によって先行されることを特徴とする請求項1に記載の方法。
  6. 前記エッチングは、前記エッジリングの上部表面が前記露出したポリシリコン表面の上に配置された誘導結合プラズマ反応装置内で行われることを特徴とする請求項1に記載の方法。
  7. 前記エッチングガスは、誘電部材によって前記チャンバの内部から分離された平面アンテナ又は非平面アンテナによってプラズマ状態に活性化され、前記誘電部材の幅は、前記基板支持部材の幅以上であることを特徴とする請求項1に記載の方法。
  8. 前記エッチングガスは、前記誘電部材内の少なくとも1つの吸気口を通して、前記チャンバの内部に供給されることを特徴とする請求項に記載の方法。
  9. プラズマエッチング装置であって、
    内部に基板支持部材を有するプラズマエッチングチャンバであって、前記基板支持部材と向かい合う誘電部材を含むプラズマエッチングチャンバと、
    前記チャンバの内部にHBrを含むエッチングガスを供給するガス供給器と、
    前記誘電部材によって前記チャンバの内部から分離されたアンテナであって、前記誘電部材を通してRFエネルギを通過させて前記エッチングガスをプラズマ状態に活性化するアンテナと、
    前記チャンバの内部を真空にする真空ポンプと、
    前記基板支持部材の外周の周りのシリコンカーバイドエッジリングと、
    エッチングされるポリシリコン層を含む基板であって、前記基板が前記エッジリングの内側周辺に接触しないで前記基板支持部材の上に下ろされて、前記基板支持部材から離されるのに十分に大きな隙間によって、前記エッジリングが前記基板から分離されるように前記基板支持部材上に配置される基板とを備え、
    プラズマにさらされる前記シリコンカーバイドエッジリングは前記ポリシリコン面に平行な平面において前記基板の各側に幅を有し、前記幅は前記平面において前記基板の最大の大きさの少なくとも10%であることを特徴とするプラズマエッチング装置。
  10. 前記真空ポンプは、100mTorr以下の真空圧力に前記チャンバ内を維持することを特徴とする請求項に記載のプラズマエッチング装置。
  11. 前記基板支持部材は、前記基板に500ワット以下のRFバイアスを印加する電極を含むことを特徴とする請求項に記載のプラズマエッチング装置。
  12. 前記エッジリングは、CVD SiCで構成されていることを特徴とする請求項に記載のプラズマエッチング装置。
  13. 前記エッジリングは、CVD SiCで覆われた焼結SiCを含むことを特徴とする請求項に記載のプラズマエッチング装置。
  14. 前記エッジリングは、前記基板支持部材の外側周辺から前記基板の外側エッジに伸びることを特徴とする請求項に記載のプラズマエッチング装置。
  15. 前記誘電部材の幅は、前記基板支持部材の幅以上であることを特徴とする請求項に記載のプラズマエッチング装置。
  16. 前記誘電部材は、前記チャンバの内部に供給される前記エッチングガスを通す少なくとも1つの吸気口を含むことを特徴とする請求項15に記載のプラズマエッチング装置。
  17. 前記基板は、ポリシリコン層を有するシリコンウェハと、前記チャンバにHBr及びClを供給するガス供給器とを含むことを特徴とする請求項に記載のプラズマエッチング装置。
  18. 前記エッジリングは、前記基板のエッジでの電界効果を補償するために前記基板の上に十分に間隔の空いた上部表面を有することを特徴とする請求項に記載のプラズマエッチング装置。
JP2001573536A 2000-03-31 2001-03-16 ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法 Expired - Lifetime JP4907827B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/540,549 US6514378B1 (en) 2000-03-31 2000-03-31 Method for improving uniformity and reducing etch rate variation of etching polysilicon
US09/540,549 2000-03-31
PCT/US2001/008618 WO2001075958A2 (en) 2000-03-31 2001-03-16 Method for improving uniformity and reducing etch rate variation of etching polysilicon

Publications (2)

Publication Number Publication Date
JP2003529931A JP2003529931A (ja) 2003-10-07
JP4907827B2 true JP4907827B2 (ja) 2012-04-04

Family

ID=24155925

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001573536A Expired - Lifetime JP4907827B2 (ja) 2000-03-31 2001-03-16 ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法

Country Status (9)

Country Link
US (1) US6514378B1 (ja)
EP (1) EP1269529B1 (ja)
JP (1) JP4907827B2 (ja)
CN (1) CN1230879C (ja)
AT (1) ATE475985T1 (ja)
AU (1) AU2001247537A1 (ja)
DE (1) DE60142685D1 (ja)
TW (1) TWI249205B (ja)
WO (1) WO2001075958A2 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
US20040053506A1 (en) * 2002-07-19 2004-03-18 Yao-Sheng Lee High temperature anisotropic etching of multi-layer structures
US7098141B1 (en) 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US7151277B2 (en) * 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
DE10358025A1 (de) * 2003-12-11 2005-07-21 Infineon Technologies Ag Verfahren zum Ätzen von Wolfram mit einer kontrollierten Seitenwandpassivierung und mit hoher Selektivität zu Polysilizium
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7208420B1 (en) 2004-07-22 2007-04-24 Lam Research Corporation Method for selectively etching an aluminum containing layer
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP4540729B2 (ja) * 2008-03-13 2010-09-08 積水化学工業株式会社 シリコン含有膜のエッチング方法および装置
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
CN102315112B (zh) * 2011-09-28 2016-03-09 上海华虹宏力半导体制造有限公司 堆栈金属栅极的刻蚀方法
CN102355792B (zh) * 2011-10-19 2016-04-06 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US20160056059A1 (en) * 2014-08-22 2016-02-25 Applied Materials, Inc. Component for semiconductor process chamber having surface treatment to reduce particle emission
CN105676588A (zh) * 2014-11-18 2016-06-15 北京北方微电子基地设备工艺研究中心有限责任公司 衬底刻蚀方法
CN109119373A (zh) * 2017-06-23 2019-01-01 北京北方华创微电子装备有限公司 压环组件和反应腔室
CN108998834A (zh) * 2018-07-26 2018-12-14 芜湖凯兴汽车电子有限公司 一种传感器单晶硅刻蚀装置
WO2023076078A1 (en) * 2021-10-29 2023-05-04 Lam Research Corporation Phased array antennas and methods for controlling uniformity in processing a substrate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05335283A (ja) * 1991-07-23 1993-12-17 Tokyo Electron Ltd プラズマ処理装置
JPH1064883A (ja) * 1996-07-04 1998-03-06 Applied Materials Inc プラズマ装置
JPH10258227A (ja) * 1997-01-02 1998-09-29 Applied Materials Inc ハイブリッド導体と多半径ドームシーリングを持つrfプラズマリアクタ
JPH11154600A (ja) * 1997-07-15 1999-06-08 Applied Materials Inc オーバーヘッドソレノイドアンテナ及びモジュラ閉込めマグネットライナを有する誘導結合されたrfプラズマ反応装置
JP2000036490A (ja) * 1998-07-16 2000-02-02 Tokyo Electron Yamanashi Ltd プラズマ処理装置およびその方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8905073D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
DE69126149T2 (de) 1990-01-22 1998-01-02 Sony Corp Trockenätzverfahren
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5242536A (en) 1990-12-20 1993-09-07 Lsi Logic Corporation Anisotropic polysilicon etching process
US5160407A (en) 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5560804A (en) 1991-03-19 1996-10-01 Tokyo Electron Limited Etching method for silicon containing layer
KR100188455B1 (ko) 1991-05-20 1999-06-01 이노우에 아키라 드라이 에칭방법
US5411624A (en) * 1991-07-23 1995-05-02 Tokyo Electron Limited Magnetron plasma processing apparatus
JP3179872B2 (ja) 1991-12-19 2001-06-25 東京エレクトロン株式会社 エッチング方法
JP2574094B2 (ja) 1992-02-27 1997-01-22 株式会社日本製鋼所 エッチング方法
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
JP3124204B2 (ja) 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5670018A (en) 1995-04-27 1997-09-23 Siemens Aktiengesellschaft Isotropic silicon etch process that is highly selective to tungsten
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
US5763327A (en) 1995-11-08 1998-06-09 Advanced Micro Devices, Inc. Integrated arc and polysilicon etching process
US5591664A (en) 1996-03-20 1997-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Method of increasing the capacitance area in DRAM stacked capacitors using a simplified process
US5801077A (en) 1996-04-22 1998-09-01 Chartered Semiconductor Manufacturing Ltd. Method of making sidewall polymer on polycide gate for LDD structure
US5932115A (en) 1996-05-03 1999-08-03 Vanguard International Semiconductor Corporation Method of manufacturing a crown shape capacitor
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5804489A (en) 1996-07-12 1998-09-08 Vanguard International Semiconductor Corporation Method of manufacturing a crown shape capacitor in semiconductor memory using a single step etching
US5884412A (en) * 1996-07-24 1999-03-23 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5861343A (en) 1996-08-07 1999-01-19 Vanguard International Semiconductor Corporation Method for fabricating an aligned opening using a photoresist polymer as a side wall spacer
US5920797A (en) * 1996-12-03 1999-07-06 Applied Materials, Inc. Method for gaseous substrate support
JP3165047B2 (ja) 1996-12-12 2001-05-14 日本電気株式会社 ポリサイド膜のドライエッチング方法
JP3568749B2 (ja) * 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
US5792692A (en) 1997-08-18 1998-08-11 Chartered Semiconductor Manufacturing, Ltd. Method of fabricating a twin hammer tree shaped capacitor structure for a dram device
US6074488A (en) 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US6200388B1 (en) * 1998-02-11 2001-03-13 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05335283A (ja) * 1991-07-23 1993-12-17 Tokyo Electron Ltd プラズマ処理装置
JPH1064883A (ja) * 1996-07-04 1998-03-06 Applied Materials Inc プラズマ装置
JPH10258227A (ja) * 1997-01-02 1998-09-29 Applied Materials Inc ハイブリッド導体と多半径ドームシーリングを持つrfプラズマリアクタ
JPH11154600A (ja) * 1997-07-15 1999-06-08 Applied Materials Inc オーバーヘッドソレノイドアンテナ及びモジュラ閉込めマグネットライナを有する誘導結合されたrfプラズマ反応装置
JP2000036490A (ja) * 1998-07-16 2000-02-02 Tokyo Electron Yamanashi Ltd プラズマ処理装置およびその方法

Also Published As

Publication number Publication date
AU2001247537A1 (en) 2001-10-15
CN1426597A (zh) 2003-06-25
WO2001075958A2 (en) 2001-10-11
ATE475985T1 (de) 2010-08-15
CN1230879C (zh) 2005-12-07
EP1269529B1 (en) 2010-07-28
WO2001075958A3 (en) 2002-01-03
US6514378B1 (en) 2003-02-04
DE60142685D1 (de) 2010-09-09
TWI249205B (en) 2006-02-11
EP1269529A2 (en) 2003-01-02
JP2003529931A (ja) 2003-10-07

Similar Documents

Publication Publication Date Title
JP4907827B2 (ja) ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法
TWI417957B (zh) 具有介電間隔環之邊緣環總成
EP1827871B1 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US6337277B1 (en) Clean chemistry low-k organic polymer etch
US20040072443A1 (en) Method for plasma etching performance enhancement
US20060021704A1 (en) Method and apparatus for etching Si
JP2002520872A (ja) ポリシリコン用ドーピング無依存式自己清浄エッチング処理
JP2011211225A (ja) デュアルドープゲートの用途におけるプロフィル制御とn/pローディングを改善する方法
WO2001008209A1 (en) Multiple stage cleaning for plasma etching chambers
KR20030087634A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20210050222A1 (en) Plasma etching method
US20040084409A1 (en) Controlled polymerization on plasma reactor wall
US20050269294A1 (en) Etching method
US6897154B2 (en) Selective etching of low-k dielectrics
EP1236226A2 (en) Cobalt silicide etch process and apparatus
US6958297B2 (en) Plasma etching methods
US7189653B2 (en) Etching method and etching apparatus
JP2022034956A (ja) エッチング方法及びプラズマ処理装置
JP4541193B2 (ja) エッチング方法
JPH053178A (ja) 半導体装置の製造方法
JP3172340B2 (ja) プラズマ処理装置
US20010049196A1 (en) Apparatus for improving etch uniformity and methods therefor
US5904862A (en) Methods for etching borophosphosilicate glass
EP1198822B1 (en) New methodologies to reduce process sensitivity to the chamber condition
US7192875B1 (en) Processes for treating morphologically-modified silicon electrode surfaces using gas-phase interhalogens

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080313

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110128

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110428

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110511

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110526

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111216

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120112

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150120

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4907827

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term