CN1426597A - 改善蚀刻多晶硅的均匀性和减少其蚀刻速率变化的方法 - Google Patents

改善蚀刻多晶硅的均匀性和减少其蚀刻速率变化的方法 Download PDF

Info

Publication number
CN1426597A
CN1426597A CN01808503A CN01808503A CN1426597A CN 1426597 A CN1426597 A CN 1426597A CN 01808503 A CN01808503 A CN 01808503A CN 01808503 A CN01808503 A CN 01808503A CN 1426597 A CN1426597 A CN 1426597A
Authority
CN
China
Prior art keywords
etching
substrate
plasma
chamber
edge ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN01808503A
Other languages
English (en)
Other versions
CN1230879C (zh
Inventor
倪图强
竹下健二
崔善焕
林云
章文莉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1426597A publication Critical patent/CN1426597A/zh
Application granted granted Critical
Publication of CN1230879C publication Critical patent/CN1230879C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

一种连续处理一系列半导体基底的装置和方法,其具有在用含氟气体清洗和/或风干该离子体蚀刻室后的等离子体蚀刻速率的改变最小。该方法包括步骤(a)将半导体基底放在等离子体蚀刻室内的基底支撑上,(b)保持该室内的真空,(c)通过向该室供给蚀刻气体和激发该蚀刻气体以在该室中形成等离子体,对基底的暴露表面进行蚀刻,(d)从该室取出基底;和(e)重复步骤(a-d),连续蚀刻该室内另外的基底,该蚀刻步骤是采用使围绕在基底碳化硅边缘环上的H和Br的再结合速率最小化到足以补偿穿过基底消耗Br的速率而完成的。这种方法可用纯HBr或HBr与其它气体的结合来完成。

Description

改善蚀刻多晶硅的均匀性和减少其蚀刻速率变化的方法
发明背景
发明领域
本发明涉及一种用HBr进行等离子体蚀刻多晶硅的方法和用于改善等离子体蚀刻均匀性的装置。
相关技术描述
在US 4,948,458、5,198,718、5,241,245、5,304,279、5,401,350和5,571,366中,公开了等离子体处理系统,其中一种与射频(RF)源耦合的天线使处理室内的气体激发进入等离子态。在这种体系中,天线被安置于处理室的外部,和通过电介质壁或窗口将RF能量送进室内。这种处理系统可用于各种半导体处理场合,如蚀刻、沉积、防剥离等。当这种系统用于对一批被接连处理的半导体基底进行等离子体蚀刻时,在蚀刻这批基底过程中,其蚀刻速率和/或均匀性可能改变。蚀刻速率/均匀性中的这种变化是不希望有的,因为蚀刻入基底中的特征可能落在合格产品的参数之外。
US 5,242,536、5,314,573、5,336,365、5,368,684和5,763,327中公开了多晶硅蚀刻技术。其中专利’536披露了在平行板等离子体蚀刻器中,利用HBr气体与Cl2和He一起进行各向异性多晶硅的蚀刻。专利’573披露了在平行板等离子体蚀刻器中利用HBr气体与HCl或Cl2一起进行各向异性多晶硅的蚀刻。’365专利披露了在电子回旋共振(ECR)等离子体蚀刻器中,利用HBr气体与Cl2一起为第一步和利用HBr与He一起为第二步骤,进行各向异性多晶硅蚀刻。’684专利披露了在一种磁控管等离子体蚀刻器中利用HBr气体、HBr与Cl2的混合物、或HBr与HCl的混合物,进行各向异性多晶硅蚀刻。专利’327披露了在等离子体蚀刻器中利用Cl2、Br2或HBr、He和O2,进行多晶硅的蚀刻。
在US 5,160,407、5,180,464、5,560,804、5,591,664、5,665,203、5,670,018、5,792,692、5,801,077、5,804,489、5,861,343、5,932,115和5,994,234中描述了单独利用HBr或结合其它气体蚀刻多晶硅的方法
US 6,022,809披露了一种用于等离子体蚀刻室的复合遮蔽环(composite shadow ring),该环包含一个受到气体等离子体如含氟等离子体轰击时不会产生污染氧气的插入部分。这种遮蔽环可移动安装在真空室底部,使晶片可装配在静电卡盘上或与之脱开,并通过围绕室壁的环形天线在该室内产生等离子体。该遮蔽环包含二氧化硅的外主体部分和硅的内环形插入部分。在旋压玻璃(SOG)(spin-on-glass)层通道蚀刻过程中,该插入部分是用来减少会抑制或损坏通道开口中聚合物侧壁钝化层的氧气的形成,并由此降低晶片外缘附近的钨插塞损失。
尽管现有技术已有几种建议改善多晶硅蚀刻的方法,尽管也尝试过使用纯硅材料防止蚀刻过程中氧气形成,但本领域需要改进对多晶硅蚀刻的均匀性。
发明概述
本发明提供了一种连续处理一系列半导体基底的方法,使其在用含氟气体清洗和/或风干等离子体蚀刻室后的等离子体蚀刻速率变化最小。这种方法包含步骤:(a)将半导体基底放在等离子体蚀刻室内的基底支撑上,(b)保持该室内真空,(c)通过向该室提供含HBr蚀刻气体并激发蚀刻气体以在该室内形成等离子体来处理基底,(d)从该室取出基底;和(e)重复步骤(a-d),连续处理该室内另外的基底,完成此蚀刻步骤是通过保持围绕基底的部件上的H和Br的再结合速率,使之足以补偿穿越基底的Br的消耗速率。
按照本发明的一个优选特征,该半导体基底可包含一层在步骤(c)的过程中用HBr蚀刻的多晶硅层,和/或在步骤(c)的过程中保持使该室在真空压力小于100mTorr下。在步骤(c)的过程中,可将不超过500瓦的RF偏压通过基底支撑施加于该基底。
在优选实施方案中,采用电介质部件通过与室内部分离的平面或非平面天线,激发蚀刻气体进入等离子态。优选地是,该电介质部件是至少与基底支撑共同扩张的,并通过电介质部件中至少一个进气口向该室提供蚀刻气体。
附图简要说明
现参考附图,对本发明更详细描述于下,其中:
图1显示可用于完成本发明方法的等离子体蚀刻室的断面示意图。
优选实施方案详细说明
本发明提供了一种改进的等离子体蚀刻方法,其能够在蚀刻一批连续被处理的半导体基底时提供更为可重复的结果。尤其,已经发现,在蚀刻一批半导体晶片的过程中,蚀刻速率可能变化明显,这取决于是否在该蚀刻之前通过在处理生产晶片(production wafer)之前先使一片或多片调节晶片(conditioning wafer)穿过该室,来对该室进行原位室清洗或风干。按照本发明,已令人惊奇地发现,利用碳化硅作为围绕晶片的边缘环的材料,可使在清洗或风干后的蚀刻速率更为均匀。
本发明方法可以在任何其中可连续处理各个基底的适宜等离子体蚀刻反应器中完成。优选等离子体蚀刻反应器是一种感应耦合等离子体反应器,如图1所示。如图所示,该装置包括室2、基底支撑4、围绕基底8的边缘环6、电介质窗10、感应耦合等离子体源12和通向真空泵的出口14。此外,通过在电介质窗10的进气口18,向该室提供蚀刻气体。该等离子体源优选为一种平面天线,诸如由适宜RF发生器20提供RF能量的螺旋形线圈,而且基底支撑优选包括一个提供RF能量的电极,以向该基底施加适宜的RF偏压。该边缘环6可以全部是CVD的SiC或一种诸如烧结SiC或涂有SiC的其它材料的复合物。但是,优选的是该边缘环整个表面暴露于该室内的等离子体中而成为碳化硅的。此外,为使电场效应减到最小,可以将边缘环6的上表面放在基底8的暴露表面之上,如边缘环的顶部可在基底的该表面以上1-2毫米。
按照本发明,该碳化硅的边缘环可在批处理各个半导体基底过程中使蚀刻速率稳定。此外,还可使边缘环保持没有因RF偏压产生的离子轰击而堆积的沉积物。这种自净化有利于保持蚀刻速率稳定,因为边缘环上的沉积物会改变引起蚀刻速率飘移的H和Br的再化合。这种反应器可包含任何在该室内产生等离子体的适宜源(如平行板、电感耦合、ECR、磁控管、螺旋波等)和任何用于输送蚀刻气体进入该室的适宜气体供应源(如安装在延伸穿过电介质窗的开口中的气环、喷头、气体喷射器)。
在图1所示的实施方案中,该真空处理室优选包括构成对基底提供静电夹持力的基底夹具,而该边缘环使等离子体限制在基底以上的区域内,同时它背面用He冷却。可采用保持室内高密度等离子体的能源(如至少109离子/cm3),诸如由适宜RF源驱动的和与RF阻抗匹配线路相关的平面天线,感应耦合RF能量进入该室,以构成高密度等离子体。真空泵优选保持该室内部处于所需压力(如50mTorr以下,一般1-20mTorr)。
图1所示的反应器包括一个基本平面的电介质窗10,其在天线12与该处理室内部之间厚度均匀。但是,也可采用其它天线和/或窗构型,诸如非平面天线和/或非平面的电介质窗。此外,尽管可以采用任何适宜的气体供应布置,但在所示实施方案中,对电介质窗设置的是一个位于中心的进气口18。可以通过一个或多个气体供给源22对入口18提供气体。基底夹具可以包括一些常规特征,诸如提升该基底的起模顶杆结构(没有显示)。
按照本发明,已经发现,使用碳化硅边缘环可以改善HBr蚀刻均匀性。为了与其它材料比较碳化硅边缘环的有效性,利用了石英、氮化硅和氧化铝的边缘环进行试验。与这些其它材料相比,在利用氟基气体的化学作用进行室清洗之后或在室风干之后(其中在蚀刻生产晶片之前对调节晶片进行处理),碳化硅边缘环提供的蚀刻速率重复性更好得多。利用激光二极管测定在多晶硅蚀刻过程中HBr的分解百分率。这些测试是在室风干之后(其中6个裸硅晶片是在处理生产晶片之前受过处理的),并且在该室的无晶片(waferless)清洗(WAC)之后(其中对该室利用氟基清洗气体清洗,同时基底支撑是未覆盖的)完成的。试验中所用等离子体蚀刻室是一种LAM 2300TM蚀刻室,并用石英、氧化铝和碳化硅为边缘环材料。分解百分率列于下表中。
    边缘环     石英     氧化铝     碳化硅
    风干之后     69.2%     62.9%     52.0%
    WAC之后     52.1%     50.3%     50.15
在用HBr对多晶硅等离子体蚀刻过程中,硅与溴结合,并作为气体被脱出。因此,最好保持在蚀刻晶片内部的HBr分解速率与在晶片外周边的速率相同。但是,因为边缘环材料不同,造成H和Br再结合速率不同,所以可以采用使H和Br再结合速率高的边缘环材料,以使晶片外周边的蚀刻速率与在晶片中心的蚀刻速率相同。相反,如果在边缘环的再结合速率低,就可能造成不均匀蚀刻,因为对晶片周边进行蚀刻要提供比对晶片内部更多的Br。
按照本发明,提高晶片边缘的再结合速率,可以改善对多晶硅蚀刻的均匀性。尤其,可通过选择边缘环材料来增加再结合速率,并可加大边缘环的宽度来增强这种效应。例如,对设计为能蚀刻200毫米晶片的蚀刻室,可选择边缘环宽度至少为晶片直径的10%,即对于200毫米的晶片,宽度至少为20毫米,优选25毫米或更宽。
按照本发明的碳化硅边缘环还具备另外一些优点。例如,与可能是一种铝污染源的氧化铝边缘环相比,由高纯度碳化硅如化学沉积SiC(CVDSiC)制成的边缘环,就不存在这种污染问题。与受到氟基清洗气体的化学作用的化学侵蚀的石英边缘环相比,碳化硅边缘环化学上更为稳定。此外,与石英边缘环、氮化硅边缘环或氧化铝边缘环相比,在需要经WAC或室风干之后以目标蚀刻速率对多晶硅进行蚀刻时,碳化硅边缘环构成对多晶硅蚀刻速率的影响最小。
可利用纯HBr或与其它气体结合的HBr,完成按照本发明的方法。例如,在主蚀刻过程中可单独或与一种或多种其它气体结合使用HBr,完成对多晶硅的蚀刻,且在过度蚀刻过程中可改变该气体的化学作用。适宜气体的化学作用的实施例如下。
按照蚀刻多晶硅诸如掩蔽硅化物/多晶硅层的第一实施方案,可利用HBr和Cl2在有或无非蚀刻气体如氩、氦或氮情况下完成主蚀刻。如果需要,可用另外的氯源如HCl替代Cl2。工艺参数诸如等离子体源的电源、晶片温度、RF偏压、室真空压力等,可按照所用等离子体反应器的类型来设定。对于感应耦合等离子体源,天线功率可以在200-1000瓦范围,晶片温度可以是10-100℃,室压力可以是5-50mTorr,RF偏压可以小于500瓦等。在过度蚀刻步骤中,可以加入氧和氦以使该气体改性。例如,这种过度蚀刻可以利用HBr、Cl2、He和O2来完成。另一方面,在过度蚀刻步骤的过程中,可以终止Cl2
按照第二实施方案,一种polycide膜片,包括一层掺杂多晶硅的下层和一层硅化钨的上层,可利用HBr和SF6对其进行蚀刻,而过度蚀刻可以通过停止供给SF6和调节HBr流量达到需要含量来完成。除SF6之外,也可采用其它含氟气体,包括NF3、ClF3、F3、HF、C2F6、CHF3、CF4、CH3F6、C3F8、C4F8等的气体。此外,可添加N2和/或O2以保护侧壁,而且如果需要,为进行溅射、稀释或冷却效应,可添加气体诸如氩和/或氦。
按照第三实施方案,在多晶硅的蚀刻之前,可进行脱出多晶硅层上的任何表面氧化物的步骤。例如,可以利用一种适宜气体诸如CF4,脱出在先前步骤如剥离石印掩模过程中多晶硅层上可能已形成的表面氧化物。然后,利用一种50-300sccm的HBr、20-150sccm的Cl2、1-20sccm的He/O2混合物(如70%He和30%O2)的混合物,蚀刻在300毫米晶片上的多晶硅。在随后步骤中可以终止Cl2,并在实现过度蚀刻的第三步骤中可以降低功率和室压力,同时利用无Cl2的HBr和He/O2气体混合物。
在第四实施方案中,可用一种Cl2/O2的混合物,蚀刻硅化钨层,且对硅化钨膜片之下的掺杂多晶硅层,可单独用HBr或HBr/O2混合物蚀刻。在这些蚀刻步骤中,可以调节等离子体源的功率达到所需等离子体密度。例如,可将等离子体密度调节至大约1×109厘米-3。但是,也可采用约1×109厘米-3的较低等离子体密度,或约至少1×1011厘米-3的较高等离子体密度。
上文已经描述了本申请的原理、优选实施方案和操作方法。但是,本发明不应被解释为局限于所述的具体实施方案。因此,上述实施方案应被认为是说明性的,而非限制性的,而且应该理解,本领域技术人员可按照这些实施方案构成各种变异,而不致偏离本发明如下权利要求所限定的范围。

Claims (20)

1、一种连续处理各个半导体基底的方法,使其在用含氟气体清洗和/或风干该等离子体蚀刻室后等离子体蚀刻速率改变最小,该方法包括步骤:
(a)将半导体基底放置在等离子体蚀刻室中的基底支撑上;
(b)保持该室真空;
(c)通过向该室供给含HBr的蚀刻气体和激发该蚀刻气体,以在该室中形成等离子体,对基底的暴露多晶硅表面进行蚀刻,
(d)从该室取出基底;和
(e)重复步骤(a-d),连续蚀刻该室内另外的基底,完成该蚀刻步骤采用的方法是,使在围绕基底的部件上的H和Br的再结合速率最小化至足以补偿穿过基底消耗Br的速率。
2、按照权利要求1的方法,其中该半导体基底是具有在步骤(c)的过程中用纯HBr蚀刻过的多晶硅层的硅晶片。
3、按照权利要求1的方法,其中在步骤(c)过程中,对该室保持小于100mTorr的真空压力。
4、按照权利要求1的方法,其中在步骤(c)的过程中,通过基底支撑对该基底施加射频偏压,从而该射频偏压使在边缘环上堆积的沉积物减至最少。
5、按照权利要求1的方法,其中该部件包括由碳化硅制成的边缘环。
6、按照权利要求1的方法,其中在该蚀刻步骤之前是进行原位清洗和/或风干该室的步骤。
7、按照权利要求1的方法,其中该蚀刻是在感应耦合等离子体反应器中完成的,其中该边缘环的上表面位于被暴露的多晶硅表面之上。
8、按照权利要求1的方法,其中该蚀刻气体是通过由电介质部件与该室内部分离的平面或非平面天线而被激发进入等离子态的,该电介质部件是至少与该基底支撑共同扩张的。
9、按照权利要求8的方法,其中该蚀刻气体是通过在电介质部件中的至少一个入口提供给该室内部的。
10、一种等离子体蚀刻装置包括:
一个其内部有一个基底支撑的等离子体蚀刻室,该室包括一个面向基底支撑的电介质部件;
一种气体供给源,供给含HBr的蚀刻气体进入该室内部;
一种通过电介质部件与该室内部分离的天线,该天线输送射频能量穿过该电介质部件,并激发该蚀刻气体进入等离子态;
一台对该室内部进行抽空的真空泵;
一种围绕基底支撑周边的碳化硅边缘环;和
一个包括被蚀刻的多晶硅层的基底,该基底位于基底支撑上,以使边缘环通过一个间隙与基底分离,该间隙的大小足以使基底被往下放至该基底支撑上和高出该基底支撑而不接触边缘环的内周边。
11、按照权利要求10的等离子体蚀刻装置,其中该边缘环有一个平行于多晶硅层的平面宽度,其宽度至少为该平面中的基底最大尺寸的20%。
12、按照权利要求10的等离子体蚀刻装置,其中该真空泵保持该室内部真空压力小于100mtorr。
13、按照权利要求10的等离子体蚀刻装置,其中该基底支撑包括一个对该基底施加不超过500瓦的射频偏压的电极。
14、按照权利要求10的等离子体蚀刻装置,其中该边缘环由CVD SiC组成。
15、按照权利要求10的等离子体蚀刻装置,其中该边缘环包括涂有CVD SiC的烧结SiC。
16、按照权利要求10的等离子体蚀刻装置,其中该边缘环从基底支撑的外周边延伸至该基底的外缘。
17、按照权利要求10的等离子体蚀刻装置,其中该电介质部件是至少与基底支撑共同扩张的。
18、按照权利要求17的等离子体蚀刻装置,其中该电介质部件包括至少一个入口,通过该入口对该室内部提供蚀刻气体。
19、按照权利要求10的等离子体蚀刻装置,其中该基底包括具有多晶硅层的硅晶片,且气体供给源提供HBr和Cl2进入该室。
20、按照权利要求10的等离子体蚀刻装置,其中该边缘环具有一个上表面,该上表面在基底之上保持足够的间距以补偿基底边缘的场效应。
CNB018085032A 2000-03-31 2001-03-16 改善蚀刻多晶硅的均匀性和减少其蚀刻速率变化的方法 Expired - Lifetime CN1230879C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/540,549 US6514378B1 (en) 2000-03-31 2000-03-31 Method for improving uniformity and reducing etch rate variation of etching polysilicon
US09/540,549 2000-03-31

Publications (2)

Publication Number Publication Date
CN1426597A true CN1426597A (zh) 2003-06-25
CN1230879C CN1230879C (zh) 2005-12-07

Family

ID=24155925

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018085032A Expired - Lifetime CN1230879C (zh) 2000-03-31 2001-03-16 改善蚀刻多晶硅的均匀性和减少其蚀刻速率变化的方法

Country Status (9)

Country Link
US (1) US6514378B1 (zh)
EP (1) EP1269529B1 (zh)
JP (1) JP4907827B2 (zh)
CN (1) CN1230879C (zh)
AT (1) ATE475985T1 (zh)
AU (1) AU2001247537A1 (zh)
DE (1) DE60142685D1 (zh)
TW (1) TWI249205B (zh)
WO (1) WO2001075958A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101960566B (zh) * 2008-03-13 2012-04-11 积水化学工业株式会社 含硅膜的蚀刻方法及装置
CN108998834A (zh) * 2018-07-26 2018-12-14 芜湖凯兴汽车电子有限公司 一种传感器单晶硅刻蚀装置
CN109119373A (zh) * 2017-06-23 2019-01-01 北京北方华创微电子装备有限公司 压环组件和反应腔室
CN118099922A (zh) * 2024-04-28 2024-05-28 南京镭芯光电有限公司 一种电感耦合等离子体刻蚀化合物半导体多层结构方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
US20040053506A1 (en) * 2002-07-19 2004-03-18 Yao-Sheng Lee High temperature anisotropic etching of multi-layer structures
US7098141B1 (en) 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US7151277B2 (en) * 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
DE10358025A1 (de) * 2003-12-11 2005-07-21 Infineon Technologies Ag Verfahren zum Ätzen von Wolfram mit einer kontrollierten Seitenwandpassivierung und mit hoher Selektivität zu Polysilizium
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7208420B1 (en) 2004-07-22 2007-04-24 Lam Research Corporation Method for selectively etching an aluminum containing layer
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
CN203205393U (zh) 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
CN102315112B (zh) * 2011-09-28 2016-03-09 上海华虹宏力半导体制造有限公司 堆栈金属栅极的刻蚀方法
CN102355792B (zh) * 2011-10-19 2016-04-06 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
WO2013130191A1 (en) 2012-02-29 2013-09-06 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US20160056059A1 (en) * 2014-08-22 2016-02-25 Applied Materials, Inc. Component for semiconductor process chamber having surface treatment to reduce particle emission
CN105676588A (zh) * 2014-11-18 2016-06-15 北京北方微电子基地设备工艺研究中心有限责任公司 衬底刻蚀方法
KR20240093987A (ko) * 2021-10-29 2024-06-24 램 리써치 코포레이션 기판 프로세싱에서 균일성을 제어하기 위한 위상 어레이 안테나들 (phased array antennas) 및 방법들

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8905073D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
DE69126149T2 (de) 1990-01-22 1998-01-02 Sony Corp Trockenätzverfahren
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5242536A (en) 1990-12-20 1993-09-07 Lsi Logic Corporation Anisotropic polysilicon etching process
US5160407A (en) 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5560804A (en) 1991-03-19 1996-10-01 Tokyo Electron Limited Etching method for silicon containing layer
KR100188455B1 (ko) 1991-05-20 1999-06-01 이노우에 아키라 드라이 에칭방법
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
JP3260168B2 (ja) * 1991-07-23 2002-02-25 東京エレクトロン株式会社 プラズマ処理装置
US5411624A (en) * 1991-07-23 1995-05-02 Tokyo Electron Limited Magnetron plasma processing apparatus
JP3179872B2 (ja) 1991-12-19 2001-06-25 東京エレクトロン株式会社 エッチング方法
JP2574094B2 (ja) 1992-02-27 1997-01-22 株式会社日本製鋼所 エッチング方法
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
JP3124204B2 (ja) 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5670018A (en) 1995-04-27 1997-09-23 Siemens Aktiengesellschaft Isotropic silicon etch process that is highly selective to tungsten
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
US5763327A (en) 1995-11-08 1998-06-09 Advanced Micro Devices, Inc. Integrated arc and polysilicon etching process
US5591664A (en) 1996-03-20 1997-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Method of increasing the capacitance area in DRAM stacked capacitors using a simplified process
US5801077A (en) 1996-04-22 1998-09-01 Chartered Semiconductor Manufacturing Ltd. Method of making sidewall polymer on polycide gate for LDD structure
US5932115A (en) 1996-05-03 1999-08-03 Vanguard International Semiconductor Corporation Method of manufacturing a crown shape capacitor
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
JPH1064883A (ja) * 1996-07-04 1998-03-06 Applied Materials Inc プラズマ装置
US5804489A (en) 1996-07-12 1998-09-08 Vanguard International Semiconductor Corporation Method of manufacturing a crown shape capacitor in semiconductor memory using a single step etching
US5884412A (en) * 1996-07-24 1999-03-23 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5861343A (en) 1996-08-07 1999-01-19 Vanguard International Semiconductor Corporation Method for fabricating an aligned opening using a photoresist polymer as a side wall spacer
US5920797A (en) * 1996-12-03 1999-07-06 Applied Materials, Inc. Method for gaseous substrate support
JP3165047B2 (ja) 1996-12-12 2001-05-14 日本電気株式会社 ポリサイド膜のドライエッチング方法
JP3568749B2 (ja) * 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
US5792692A (en) 1997-08-18 1998-08-11 Chartered Semiconductor Manufacturing, Ltd. Method of fabricating a twin hammer tree shaped capacitor structure for a dram device
US6074488A (en) 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US6200388B1 (en) * 1998-02-11 2001-03-13 Applied Materials, Inc. Substrate support for a thermal processing chamber
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101960566B (zh) * 2008-03-13 2012-04-11 积水化学工业株式会社 含硅膜的蚀刻方法及装置
CN109119373A (zh) * 2017-06-23 2019-01-01 北京北方华创微电子装备有限公司 压环组件和反应腔室
CN108998834A (zh) * 2018-07-26 2018-12-14 芜湖凯兴汽车电子有限公司 一种传感器单晶硅刻蚀装置
CN118099922A (zh) * 2024-04-28 2024-05-28 南京镭芯光电有限公司 一种电感耦合等离子体刻蚀化合物半导体多层结构方法

Also Published As

Publication number Publication date
EP1269529B1 (en) 2010-07-28
DE60142685D1 (de) 2010-09-09
ATE475985T1 (de) 2010-08-15
US6514378B1 (en) 2003-02-04
JP2003529931A (ja) 2003-10-07
CN1230879C (zh) 2005-12-07
TWI249205B (en) 2006-02-11
WO2001075958A2 (en) 2001-10-11
EP1269529A2 (en) 2003-01-02
JP4907827B2 (ja) 2012-04-04
AU2001247537A1 (en) 2001-10-15
WO2001075958A3 (en) 2002-01-03

Similar Documents

Publication Publication Date Title
CN1230879C (zh) 改善蚀刻多晶硅的均匀性和减少其蚀刻速率变化的方法
US5384009A (en) Plasma etching using xenon
US5935874A (en) Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
EP1827871B1 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US6380095B1 (en) Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion
US7459100B2 (en) Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US6660127B2 (en) Apparatus for plasma etching at a constant etch rate
US6337277B1 (en) Clean chemistry low-k organic polymer etch
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
US8394231B2 (en) Plasma process device and plasma process method
US20050155625A1 (en) Chamber cleaning method
US10056236B2 (en) Plasma processing method
US20180347037A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
KR20120046072A (ko) 플라즈마 반응기용 샤워헤드 구조
US7578945B2 (en) Method and apparatus for tuning a set of plasma processing steps
US9023227B2 (en) Increased deposition efficiency and higher chamber conductance with source power increase in an inductively coupled plasma (ICP) chamber
US20010049196A1 (en) Apparatus for improving etch uniformity and methods therefor
US20040018741A1 (en) Method For Enhancing Critical Dimension Uniformity After Etch
EP1198822B1 (en) New methodologies to reduce process sensitivity to the chamber condition
US20240006157A1 (en) Methods and systems for dry etching
US12076763B2 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US9947558B2 (en) Method for conditioning silicon part
US20180345330A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
Jeon et al. Cleaning of wafer edge, bevel and back-side with a torus-shaped capacitively coupled plasma
KR20200039827A (ko) 반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-k 막들의 선택적 인-시튜 세정

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20051207