TWI417957B - 具有介電間隔環之邊緣環總成 - Google Patents

具有介電間隔環之邊緣環總成 Download PDF

Info

Publication number
TWI417957B
TWI417957B TW095129053A TW95129053A TWI417957B TW I417957 B TWI417957 B TW I417957B TW 095129053 A TW095129053 A TW 095129053A TW 95129053 A TW95129053 A TW 95129053A TW I417957 B TWI417957 B TW I417957B
Authority
TW
Taiwan
Prior art keywords
ring
dielectric spacer
substrate
edge
edge ring
Prior art date
Application number
TW095129053A
Other languages
English (en)
Other versions
TW200715402A (en
Inventor
Jeremy Chang
Andreas Fischer
Babak Kadkhodayan
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200715402A publication Critical patent/TW200715402A/zh
Application granted granted Critical
Publication of TWI417957B publication Critical patent/TWI417957B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/467Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

具有介電間隔環之邊緣環總成
本發明係關於具有介電間隔環之邊緣環總成。
在以下描述中參考特定結構及方法,然而不必將該等參考內容解釋為許可該等結構及方法符合實用法定條款下之先前技術。申請人保留其權利以表明任何參考標的物不構成先前技術。
在半導體加工技術領域中,通常使用電漿處理室對形成於基板上之一或多個層進行蝕刻。在蝕刻期間,將該基板支撐在該室內一基板支撐表面上。基板支撐物可包括定位於該基板支撐物周圍(意即,該基板周圍)之邊緣環,以用於將電漿限制於基板以上之體積及/或保護基板支撐物(其通常包括一夾鉗機構)以防被電漿侵蝕。該等邊緣環(有時稱為對焦環)可為消耗性(意即可消耗)部件。在共同擁有之美國專利案第5,805,408號、第5,998,932號、第6,013,984號、第6,039,836號及第6,383,931號中描述了導電及非導電邊緣環。
可使用微影技術在一半導體基板之一表面上形成幾何圖案。在微影處理期間,可自一光罩或主光罩投影一圖案諸如積體電路圖案,並將其轉印至一形成於該基板之一表面上之感光性(如光阻)塗層上。又可使用電漿蝕刻將形成於該光阻層上之圖案轉印至位於光阻層下、形成於該基板上之一或多個層。
在電漿蝕刻期間,藉由在低壓下將大量能量添加至一氣體(或氣體混合物)而在基板表面上形成電漿。該電漿可含有離子、游離基及具有高動能之中性物質。藉由調整該基板之電位,可引導電漿中之帶電物質撞擊基板表面,並藉此從中移除材料(如原子)。
藉由使用對於待蝕刻材料具有化學反應性之氣體,可使電漿蝕刻更有效率。所謂"反應式離子蝕刻"將電漿之高能蝕刻效應與反應性氣體之化學蝕刻效應組合。然而,在電漿蝕刻期間,除了蝕刻半導體材料之一或多個層之外,亦可藉由電漿移除該光阻層。
可形成蝕刻副產物之光阻材料及/或聚合物之殘餘物可能不佳地再沈積於一側向邊緣(如斜邊)或基板下側上。可在後續加工中揮發之傾斜聚合物可能對製程良率具有不利影響。為了最大化良率,需要減少基板下側及斜邊上之聚合物聚積。
在第一實施例中,一適於圍繞一電漿蝕刻室中一基板支撐表面之邊緣環總成包含一邊緣環及一在該邊緣環與該基板支撐表面之間的介電間隔環,其中該邊緣環經定尺寸以位於基板支撐表面上之基板的一周邊部分之下,並在該基板之一下周邊表面與該邊緣環之一上表面之間提供一間隙,且該介電間隔環經定尺寸以在該基板之一下表面與該介電間隔環之一上表面之間提供一間隙。
當該邊緣環總成安裝於一電漿蝕刻室中時,該邊緣環與該介電間隔環之間的一環形間隙及/或該介電間隔環與該基板支撐表面之間的一環形間隙較佳小於0.25 mm,且該介電間隔環之一上表面與該邊緣環之一最內上表面較佳為共平面。
該邊緣環總成較佳經配置以使該基板支撐表面之平面與該介電間隔環最上表面之平面之間的距離小於約0.25 mm,且該基板支撐表面之平面與該邊緣環一徑向內部部分上表面之平面之間的距離小於約0.25 mm。因此,當一基板定位於該基板支撐表面上時,該基板之一下表面與該介電間隔環之一上表面之間的間隙較佳小於約0.25 mm,且該基板之一下表面與該邊緣環一徑向內部部分之一上表面之間的間隙較佳小於約0.25 mm。在一實施例中,該邊緣環之一徑向外部部分比該介電間隔環厚。
在另一實施例中,一電漿蝕刻室包含一適於圍繞一電漿蝕刻室中基板支撐表面之邊緣環總成。該基板支撐物較佳包含一靜電夾盤,其位於一形成下部電極之底板的上表面上。該邊緣環總成可覆蓋在一聯接環上,該聯接環覆蓋在該底板之周邊部分上。可在該基板支撐表面上安裝一基板,以使得該基板之外緣突出於該介電間隔環及該邊緣環之一徑向內部部分。
一較佳介電間隔環具有一寬度(如約0.5至2.5 mm)以有效地使該邊緣環與底板電絕緣,並具有一高度(如1至3 mm)以有效地最小化該介電間隔環與基板之間的間隙中的聚合物沈積。至少一條氣體通道可延伸通過該聯接環或底板,該氣體通道適於向邊緣環及/或介電間隔環之一鄰近表面提供一熱轉移氣體。
一較佳電漿蝕刻室包含一平行板反應器,其具有一面向該基板支撐表面之上簇射頭電極。該基板支撐可包含一RF驅動電極及/或該基板支撐表面可包含一底板上表面上的一靜電夾盤。
該邊緣環總成較佳適於減少以下各物中之至少一者:(i)邊緣環與底板之間的RF耦合,(ii)邊緣環與底板之間的飛弧,及(iii)基板支撐表面上所支撐基板之下側及/或邊緣上的聚合物沈積。
一種在一具有邊緣環總成之電漿蝕刻室中蝕刻一半導體基板上之一層的方法包含:將該基板支撐在一位於該室內之基板支撐表面上,為該室提供蝕刻氣體,在該基板之一曝露表面附近將該氣體激發為一電漿狀態,及使用該電漿蝕刻該半導體基板上之一或多個層。由於該介電間隔環之電漿侵蝕,可在蝕刻預定數量之半導體基板後,將該介電間隔環從該室中移除並用另一介電間隔環替換。
根據又一實施例,一介電間隔環經定尺寸以在一位於一電漿蝕刻室中一基板支撐表面上之基板的一下表面與該介電間隔環的一上表面之間提供一間隙,該介電間隔環進一步經定尺寸以被一邊緣環圍繞,其中該邊緣環經定義以位於該基板之下,並在該基板下表面與該邊緣環上表面之間提供一間隙。
當介電間隔環及邊緣環安裝於電漿蝕刻室中時,介電間隔環之一上表面與邊緣環之一最內上表面較佳為共平面。
該介電間隔環可黏結至一聯接環之一上表面或一底板之一上表面,且該介電間隔環與該聯接環中之一或二者可由石英製成。在另一實施例中,該介電間隔環可包含一形成於一聯接環之徑向內表面上的軸向向上延伸部分。
在一平行板電漿蝕刻反應器中,經由一簇射頭電極提供製程氣體,並藉由向該簇射頭電極及/或底部電極提供能量而產生之電漿對一底部電極上所支撐之半導體基板進行電漿蝕刻,此處底部電極與電漿之間的RF耦合可影響電漿均一性。
為改良該電漿均一性,一邊緣環總成圍繞該電漿蝕刻反應器中一基板支撐表面。該邊緣環總成包含一邊緣環及一介電間隔環,其經過排列以致該介電間隔環圍繞該基板支撐表面而該邊緣環圍繞該介電間隔環。在基板支撐表面周圍併入邊緣環總成可減少一基板下側及邊緣上之聚合物聚積及/或提高該基板之電漿蝕刻均一性。
在一較佳實施例中,該介電間隔環為一獨立部件,其停置於一圍繞該基板支撐表面之構件的表面上。該構件可為一位於該介電間隔環及該邊緣環之下的聯接環,或該底板之一部分。在一替代實施例中,可經由例如一導熱彈性體黏結將該介電間隔環黏結至該構件。在又一較佳實施例中,該介電間隔環及一聯接環可包含一整體部件。
藉由提供一介電間隔環,可減少邊緣環與底板之間的RF耦合。此外,如以下詳細解釋,在基板支撐表面與邊緣環之間插入一介電間隔環可在基板之電漿蝕刻期間減少導電邊緣環與基板支撐物/底板之間的飛弧之傾向,及/或減少基板支撐表面上所支撐基板之下側及/或邊緣上的聚合物沈積。一種電漿蝕刻室包含該邊緣環總成,且一種蝕刻一半導體基板之方法包含在一包含該邊緣環總成之電漿蝕刻室中蝕刻該半導體基板。
該邊緣環總成適於圍繞一電漿蝕刻反應器中之基板支撐表面。在對該基板支撐表面上所支撐或夾持之基板進行電漿蝕刻期間,該邊緣環總成可將電漿集中於該基板上及/或經由該基板集中RF功率。咸信該邊緣環總成可改良電漿蝕刻效能並減少電漿反應器組件之磨損。此外,該介電間隔環及該邊緣環可為拋棄式部件,可保護基板支撐物及/或底板免受電漿侵蝕。
電漿室通常用於藉由向該室提供一包含一或多種氣體之蝕刻氣體、並向該蝕刻氣體提供能量以將其激發為一電漿狀態,而在基板上蝕刻材料層。已知多種電漿室設計,其中可用射頻(RF)能量、微波能量及/或磁場來產生及維持中等密度或高密度之電漿。
邊緣環總成可併入電感耦合、螺旋(helicon)、電子回旋加速器共振、平行板或其他類型電漿室中。例如,在一變壓器耦合電漿(TCPT M )反應器中或一電子回旋加速器共振(ECR)反應器中可產生高密度電漿。變壓器耦合電漿反應器(其中RF能量電感耦合至該反應器中)可購自Lam Research Corporation,Fremont,California。在共同擁有之美國專利案第5,948,704號中揭示一種可提供高密度電漿之高流量電漿反應器之實例,其揭示內容以引用的方式併入本文中。在共同擁有之美國專利案第4,340,462號、第4,948,458號、第5,200,232號及第5,820,723號中揭示平行板反應器、電子回旋加速器共振(ECR)反應器及變壓器耦合電漿(TCPT M )反應器,其揭示內容以引用的方式併入本文中。
以實例而言,電漿可在一平行板蝕刻反應器中產生,如共同擁有之美國專利案第6,090,304號中所描述之雙頻電漿蝕刻反應器,其揭示內容以引用的方式併入本文中。一較佳平行板電漿蝕刻室為一雙頻電容耦合電漿反應器,其包括一上簇射頭電極及一下部電極,該下部電極(如底板)具有一基板支撐物,如一併入其上表面之靜電夾盤。出於說明之目的,在此參考一平行板類型電漿蝕刻室描述該邊緣環總成之實施例。
在圖1中說明一平行板電漿蝕刻反應器。該電漿蝕刻反應器100包含一室110、一入口負載鎖112及一可選出口負載鎖114,在共同擁有之美國專利案第6,824,627號中描述其更多細節,其揭示內容以引用的方式併入本文中。
該等負載鎖112及114(若提供)包括轉移裝置,以將諸如晶圓之基板自一晶圓供給162經由該室110轉移出至一晶圓容器164。一負載鎖泵176可提供該等負載鎖112及114中所需之真空壓力。
一真空泵172諸如渦輪泵適於在該室內保持一所需壓力。在電漿蝕刻期間,控制該室壓力並較佳保持於一足以維持電漿之等級。過高室壓會不利地導致蝕刻停止,而過低室壓會導致電漿消失。在一中等密度電漿反應器諸如平行板反應器中,較佳將室壓保持為低於約200 mTorr之壓力(例如小於100 mTorr或小於50 mTorr)。
該真空泵可連接至該反應器一壁上之一出口,並可藉由一閥門173進行節流以控制室內壓力。較佳地,當蝕刻氣體流入室中時,該真空泵能夠在室內維持一小於200 mTorr之壓力。
該室110包括一上部電極總成120及一下部電極總成140,上部電極總成120包括一上部電極125(例如簇射頭電極),下部電極總成140包括一底板(意即下部電極)160及一形成於其上表面之基板支撐表面150。上部電極總成120安裝於一上部外殼130中。可藉由一機構132垂直移動該上部外殼130,以調整上部電極125與基板支撐表面150之間的間隙。
可將一蝕刻氣源170連接至外殼130,以將包含一或多種氣體之蝕刻氣體傳遞至上部電極總成120。在一較佳蝕刻反應器中,該上部電極總成包含一氣體分散系統,其可用於將反應及/或運載氣體傳遞至一靠近基板表面之區域。在共同擁有之美國專利案第6,333,272號、第6,230,651號、第6,013,155號及第5,824,605號中揭示可包含一或多個氣環、噴射器及/或簇射頭(如簇射頭電極)之氣體分散系統,其揭示內容以引用的方式併入本文中。
上部電極125較佳包含一簇射頭電極,其包括小孔(未顯示)以經由其分散蝕刻氣體。該簇射頭電極可包含一或多個垂直分立開之隔板,其可促進所需之蝕刻氣體分散。該等上部電極及下部電極可由任何合適材料形成,如石墨、矽、碳化矽、鋁(如陽極氧化鋁)或其組合。可將一熱轉移液體源174連接至上部電極總成120,且將另一熱轉移液體源連接至底板160。
共同擁有之美國專利案第6,019,060號揭示一電漿圍束環總成,其揭示內容以引用的方式併入本文中。由於在經蝕刻之基板上之電漿圍束,基板表面之壓力可能高於對反應器室所設定之真空壓力。為保持一低室壓,較佳以約50至500標準立方公分/每分鐘(sccm)之流動速率將惰性運載氣體添加至該室。對於一200 mm基板,一蝕刻氣體混合物之個別反應組件之個別流動速率通常在約1至200 sccm之範圍內,基板越大該值越高。
電漿密度指電漿蝕刻區域內陽離子之密度。一般而言,電漿密度係提供給電極之功率的函數。較高功率傾向於創建較高密度之電漿,其根據其他參數,可藉由向基板表面產生一大流量離子流而增加對一基板上先前形成層之蝕刻率。中等密度電漿可表徵為包含一約101 0 至101 1 離子/cm3 之離子密度,而高密度電漿通常可具有一約101 1 至101 2 離子/cm3 之離子密度。
在一些實施例中,上部電極或下部電極可為具有動力之電極,而另一者下部電極或上部電極為電性接地(迴路通程)電極。在其他實施例中,上部電極及下部電極均可具有動力,且二者之動力的電壓互為異相。在一平行板反應器中,一電源178可向上部電極125及/或底板(意即下部電極)160提供射頻(RF)功率。
反應器可為一單頻、雙頻或多頻電漿反應器。舉例而言,在一雙頻電漿反應器中,可藉由以兩個不同頻率經由匹配網路向上部及/或下部電極提供RF功率,從而產生電漿。例如,可將一諸如2 MHz之低頻提供給下部電極,並將一諸如27 MHz之高頻提供給上部電極。或者,可將上部電極電接地,並以兩個或兩個以上之不同頻率(如約10-60 MHz及小於約10 MHz)提供RF功率給下部電極。
蝕刻期間可將一連續或非連續RF偏壓施加至基板。該RF偏壓可確定陽離子流撞擊基板表面之能量。對於一200 mm之基板,RF功率較佳在約50至3000瓦特範圍內,而施加至下部電極之RF偏差功率在0至3000瓦特範圍內。較佳地,下部電極具有一表面積以便RF偏壓功率可為基板提供約0-8瓦特/cm2 且較佳至少2瓦特/cm2 之功率。
藉由為下部電極提供RF功率,可形成一關於該基板表面之DC護皮電壓。該護皮電壓係偏壓功率之函數,且大體上與電漿產生無關。高偏差功率將產生大護皮電壓,且在蝕刻期間可導致基板表面之高能離子轟擊。
一平行板反應器中之電極之間的間隙寬度可影響一介電層之蝕刻率。對所需間隙寬度之選擇將部分取決於蝕刻期間所使用之室壓。通常,在較高室壓下(例如約75 mTorr至1 Torr),一較佳間隙寬度為約1至1.5 cm。在較低室壓下(例如低於約75 mTorr),可使用一較高間隙寬度,如約1.3至2.5 cm之間隙寬度。同樣,可將間隙寬度作為一施加至該等電極之頻率的函數而選擇。一般而言,對於介電層之蝕刻,較低間隙寬度相對於較高頻率為更佳,而較高間隙寬度相對於較低頻率為更佳。在一中等密度平行板反應器中,支撐基板之上部電極與下部電極之間的間隙可為約1至2.5 cm。
在圖2中顯示下部電極總成240之細節,其包括一根據第一實施例之邊緣環總成270。該下部電極總成240包含一具有一凸緣262之底板260、及一基板支撐物250例如一靜電夾盤(ESC),後者包含一形成於該底板之一上表面上之基板支撐表面254。該底板(下部電極)可包含一導電材料,且該ESC可包含一內埋有一電極252之陶瓷材料。可將該ESC黏結至底板之一上表面。可藉由一RF源及轉接電路為下部電極提供動力,以便提供RF匹配等。下部電極較佳受到溫度控制,並可視情況包括一加熱裝置。基板支撐表面254適於支撐一單個半導體基板,如一200 mm或300 mm之晶圓。
如圖2實施例中所示,在一停置於底板260之凸緣262上之聯接環290(如一石英聯接環)的一上表面上,支撐有邊緣環280及介電間隔環285。可使用或不使用機械或黏著緊固件(如複數個螺釘224)將聯接環290支撐在底板上。可將一基板210支撐/夾持在基板支撐表面上,以便較佳地使該介電間隔環285及邊緣環280之至少一徑向內部部分281突出。
基板支撐物250較佳包括其中之通道,以用於在基板210與支撐表面254之間提供氦,從而在電漿蝕刻期間以足以防止基板上光阻材料燃燒的量冷卻基板210。在電漿蝕刻期間較佳將基板維持在一小於約140℃之溫度。在中等密度電漿反應器中,較佳將基板支撐物冷卻至一約-20至80℃之溫度,以使基板維持在一所需溫度。
為了使基板維持在一所需溫度,可以約1至30 Torr之壓力將氦氣施加於基板與基板支撐表面之間的空間中。此外,可藉由調節RF偏壓及ESC溫度之水平以及於本文所述之其他參數而控制基板溫度。在共同擁有之美國專利案第6,140,612號中揭示一種藉由在基板與基板支撐表面之間的間隙中引入加壓氣體從而控制基板溫度的方法,其揭示內容以引用的方式併入本文中。
聯接環290視情況可包含一位於其上表面之邊緣環夾盤(未顯示)。該邊緣環夾盤(若提供)可為一單極或雙極夾盤,且可藉由一DC電源使用合適之電連接向其提供DC電。該邊緣環夾盤可用以將邊緣環280(如一矽邊緣環)緊固至該聯接環。在共同擁有之美國專利案第6,475,336號中揭示一種靜電夾持邊緣環之細節,其揭示內容以引用的方式併入本文中。
邊緣環280可由一半導體或導電材料製成,如矽(例如單晶矽或多晶矽)或碳化矽(例如化學汽相沈積碳化矽)。由於該邊緣環將直接暴露於電漿,因此較佳邊緣環由高純度材料製成。用於該邊緣環之其他材料包括氧化鋁、氮化鋁、氮化矽、石英等。該邊緣環可電浮動或電耦接至一DC地面。
為了減少基板支撐物及/或底板在電漿中之離子/反應物質中之曝光,較佳調整基板支撐物之尺寸以使得基板突出於基板支撐表面。仍參考圖2,可突出於基板支撐表面約1至2 mm之基板同時突出於介電間隔環285及邊緣環280之一徑向內部部分281(例如,介電間隔環及邊緣環之一部分延伸於基板之周邊下方)。因此,介電間隔環較佳具有一小於基板突出於基板支撐表面之量的徑向寬度。
在一較佳邊緣環總成中,邊緣環280之徑向內緣接觸或靠近介電間隔環285之徑向外緣,且介電間隔環285之徑向內緣接觸或靠近基板支撐物及/或底板260之外緣。靠近意謂邊緣環與介電間隔環之間的間隙(如環形間隙)或介電間隔環與基板支撐表面之間的間隙小於約0.25 mm,更佳小於約0.12 mm。因此介電間隔環與邊緣環大體上覆蓋聯接環290(或邊緣環夾盤,若提供)之上表面,以便可減少該聯接環上表面對於電漿之反應物質及/或離子的曝光。在一實施例中,可將該介電間隔環黏結至該聯接環(意即,可將該聯接環之一上表面黏結至該介電間隔環之一下表面)。
一諸如氦氣之熱轉移氣體可用於改良邊緣環總成與底板之間的熱轉移。熱轉移氣體可自氣源230經由氣體通道232供給至邊緣環總成與聯接環290之間的介面及/或聯接環290與底板260之間的介面。氣體通道232可於一或多個位置延伸穿過底板260及聯接環290,其中該一或多個位置沿底板260周圍分立,例如延伸穿過螺釘224中之通道。
在基板支撐物與介電間隔環之間及/或介電間隔環與邊緣環之間具有一間隙的實施例中,該(等)間隙中之氦氣流可減少蝕刻氣體之進入及/或其中之揮發性副產物,並因此減少電漿蝕刻期間之聚合物沈積。
仍參考圖2,該基板之向外分立之邊緣環的一內表面較佳經塑形以形成一具有大體垂直於基板表面之平面的角度。因此,一較佳邊緣環包含一徑向內部部分281及一徑向外部部分,其中該徑向內部部分之厚度小於該徑向外部部分之厚度,且徑向外部部分之厚度大於介電間隔環之厚度。介電間隔環之一上表面與邊緣環徑向最內部分之一上表面較佳經定位以盡可能靠近基板下側。根據一較佳實施例,介電間隔環之一上表面及邊緣環之一徑向最內上表面大體上共平面,且經配置以位於基板突出於基板支撐表面之彼部分之下。或者,介電間隔環之一上表面可高於或低於邊緣環之一徑向內部上表面。
介電間隔環與基板之間及邊緣環徑向內部部分與基板之間的一間隙允許電漿蝕刻期間介電間隔環與邊緣環之熱膨脹。較佳在介電間隔環之一上表面與基板下表面之間具有一間隙G,且在邊緣環之一內部上表面與與基板下表面之間具有一間隙G'。需要在介電間隔環與基板之間及邊緣環與基板之間提供足夠間隙,以便在蝕刻期間介電間隔環及/或邊緣環之熱膨脹不會將基板升高而離開基板支撐表面。
較佳介電間隔環具有一可有效使邊緣環與底板電絕緣之寬度,及一可有效最小化基板電漿蝕刻期間介電間隔環與基板之間的間隙(G)的高度。藉由最小化該間隙G,可最小化基板下側或斜邊上之聚合物沈積。
介電間隔環可具有一方形截面或一矩形截面。示範性介電間隔環具有一約0.5 mm至2.5 mm、更佳約0.8至1.2 mm之寬度,及一約1至3 mm、更佳約2.4至2.8 mm之高度。根據一較佳實施例,介電間隔環適於安裝在一安裝於基板支撐表面上之基板的突出部分下,其中介電間隔環與基板之間具有一小於約0.25 mm之間隙。根據另一較佳實施例,基板支撐表面之平面與介電間隔環上表面之平面之間的距離較佳小於約0.25 mm,且基板支撐表面之平面與邊緣環之徑向內部部分上表面之平面之間的距離較佳小於約0.25 mm適於用作介電間隔環之材料包括陶瓷材料如氧化矽(例如石英)或氧化鋁,及聚合物材料如DuPontVespel、DuPontKapton及其類似物。較佳介電間隔環由石英製成。
根據另一實施例,邊緣環總成之一替代幾何形狀包含一改良之聯接環。參考圖3,下部電極總成340包含一改良之聯接環390',其包括在其徑向內表面上之一軸向向上延伸部分385。相較於包含一獨立聯接環及介電間隔環之圖2實施例,在圖3實施例中,聯接環及介電間隔環經配置為一安裝於底板360上之一體式、整體部件。因此,該改良聯接環之軸向向上延伸部分385適於替換一獨立介電間隔環。可使用或不使用機械或黏著緊固,將該改良聯接環390'支撐在底板360上。
在圖3實施例中,與參考圖2實施例中所描述之邊緣環大體相同之邊緣環380停置於該改良聯接環390'之一外凸緣部分上。可由石英製成之該改良聯接環390'可停置於或附著於(例如經由螺釘324)底板360之一凸緣部分362上。
一諸如氦氣之熱轉移氣體可用於改良該改良聯接環總成與底板之間的熱轉移。該熱轉移氣體可自氣源330經由氣體通道332供給至改良聯接環390'與底板360之間的介面及/或改良聯接環390'與邊緣環380之間的介面。該氣體通道332可於一或多個位置延伸穿過底板360及改良聯接環390',其中該一或多個位置沿底板360周圍分立,例如延伸穿過螺釘324中通道。
基板之向外分立邊緣環380之一內部上表面較佳經塑形以形成一具有一大體垂直於基板表面之平面的角度。基板支撐物350可包含一ESC,其具有一基板支撐表面354及一內埋於其中之電極352。可將該ESC黏結至該底板360之一上表面。
邊緣環380之徑向內表面382接觸或靠近軸向向上延伸部分385之徑向外表面386,且軸向向上延伸部分385之徑向內表面387接觸或靠近基板支撐物350及/或底板360之徑向外表面。
邊緣環380之徑向內部部分381及改良聯接環390'之軸向向上延伸部分385皆延伸至基板310之突出部分以下。根據一較佳實施例,軸向向上延伸部分385之一上表面及邊緣環之一最內上表面大體上共平面,且經配置以位於突出於基板支撐表面之基板部分以下。或者,改良聯接環390'之軸向向上延伸部分385之一上表面可高於或低於邊緣環之一內部上表面。較佳在軸向向上延伸部分385之一上表面與基板下表面之間具有一間隙G,且在邊緣環380之一內部上表面與基板下表面之間具有一間隙G'。
根據一較佳實施例,改良聯接環390'之軸向向上延伸部分385適於以一小於約0.25 mm之間隙(G)安裝在一安裝於基板支撐表面上之基板突出部分下。
用於蝕刻諸如SiO2 之介電材料之電漿蝕刻反應器之示範性操作條件如下:約200 mm或300 mm之晶圓直徑;基板上至少約200 nm之介電材料厚度;至少約90%理論密度之介電材料密度;約0℃至約90℃之下部電極溫度;約0 Torr至2 Torr、較佳達200 mTorr之室壓;約20℃至200℃、較佳為約20℃至50℃之基板溫度;約10 sccm至1,000 sccm之蝕刻氣體流率;在上部電極與下部電極之間傳遞之至少約2,500瓦特之總雙頻功率;及至少約1分鐘之介電材料蝕刻時間。
各種蝕刻氣體可用於蝕刻不同介電材料。蝕刻氣體可包括一或多種含鹵素氣體、一或多種含氧氣體及/或一或多種含氮氣體。典型蝕刻氣體混合物可包括例如含氯氣體,諸如(但不限於)Cl2 、HCl及BCl3 ;含氟氣體,諸如(但不限於)CF4 、C2 F6 、C3 F8 、C4 F8 、CHF3 、CH2 F2 、CH3 F、NF3 及SF6 ;含氧氣體,諸如(但不限於)O2 、CO、H2 O及SO2 ;含氮氣體,諸如(但不限於)N2 、NH3 ;及惰性氣體以及其他氣體,諸如(但不限於)He、Ne、Kr、Xe及Ar。
蝕刻氣體混合物較佳包括一惰性運載氣體。在介電材料(如氧化物、氮化物或其組合物)之電漿蝕刻期間,該運載氣體可濺鍍該介電材料,如此可有利地提高總蝕刻率。重惰性氣體具有一低游離電位並形成離子,其可在一給定RF功率下增強濺鍍率。此外,該惰性氣體之低游離電位有助於在基板表面上產生均一電漿。示範性運載氣體包括氦、氖、氬、氪及/或氙。氬為較佳惰性運載氣體。該等及其他氣體可在一蝕刻氣體混合物之組合中使用。
以下陳述在一購自Lam Research Corporation,Fremont,CA之2300 Exelan或ExelanHPT雙頻中等密度平行板電漿室中進行介電蝕刻製程之實例,其中一主蝕刻步驟中之蝕刻氣體化學係八氟環丁烷(C4 F8 )、二氟甲烷(CH2 F2 )、氮氣(N2 )及氬氣(Ar)之混合物。可使用包含其他蝕刻氣體之其他蝕刻步驟。儘管該氣體混合物之最佳流動速率及流量比可根據電漿反應器、基板尺寸等的選擇而變化,但在一300 mm矽晶圓上蝕刻氧化矽層之情況下,可按2至20 sccm六氟-1,3-丁二烯(C4 F6 )、2至20 sccm C4 F8 、1至10 sccm CH2 F2 、50至200 sccm四氟甲烷(CF4 )、50至200 sccm N2 、200至800 sccm Ar、100至400一氧化碳(CO)、及100至400氧氣(O2 )之流動速率將蝕刻氣體之個別組份供給至反應室。在蝕刻期間,室壓可設置為1至500 mTorr,較佳為5至200 mTorr。主蝕刻步驟期間C4 F8 流動速率與CH2 F2 流動速率之比率可為0.5至4,較佳為1至3,以達成關於經蝕刻結構其他層之所需之選擇度。
在介電蝕刻期間,較佳將上部電極電接地,並向下部電極提供一或多個功率等級(及頻率)之RF功率。此外,上部電極較佳包含一包含一雙進氣配置之簇射頭電極,其中該簇射頭電極包含兩個或兩個以上氣體輸入區,如一中心區及圍繞該中心區之徑向(邊緣)區。在一雙進氣裝置中,可控制蝕刻氣體流經一中心區及流經一圓周圍繞延伸區之流動速率(意即,可控制流動速率比)。在共同擁有之美國專利案第6,245,192號中揭示包含一雙進氣裝置之電漿蝕刻反應器之實例,其揭示內容以引用的方式併入本文中。
介電層可包含氮化矽、未摻雜或摻雜氧化矽(如氟化氧化矽)、旋塗式玻璃、矽酸鹽玻璃諸如硼磷矽玻璃(BPSG)或磷矽玻璃(PSG)、未摻雜或摻雜熱生長氧化物、未摻雜或摻雜四乙氧基矽烷(TEOS)沈積氧化矽及無機或有機低介電常數(意即低k)層。該等層可形成一鑲嵌結構之部分。介電材料之摻雜劑可包括(但不限於)硼、氟、磷及/或砷。
介電層可形成於一半導體基板上或可形成於一導電或半導電層上。例如,介電層可覆蓋一諸如多晶矽之導電或半導電層或一金屬層,該金屬層包含鋁、銅、鈦、鎢、鉬、氮化物(如氮化鈦)、矽化物(如矽化鈦、矽化鈷、矽化鎢、矽化鉬及其類似物)。
圖4展示一關於如何將邊緣環總成安裝至底板上而非聯接環上之變化。如圖4中所示,一邊緣環總成470之介電間隔環485及邊緣環480支撐在底板460之一表面上,且一基板410支撐在基板支撐物450之一支撐表面454上,其併入底板460之一中心部分,以致該基板支撐物在垂直方向上高於基板突出部分下方之邊緣環總成組件上表面。為了促進自邊緣環總成470至底板460之熱轉移,一氣體供應430可經由一或多個通道432將一熱轉移氣體提供至該邊緣環總成之組件與底板及/或基板支撐物之間的介面中。一較佳熱轉移氣體係氦。
咸信該邊緣環總成可用於達成半導體基板之更均一之電漿蝕刻。詳言之,該邊緣環總成可用於操作一基板邊緣旁之RF阻抗路徑。可藉由選擇介電間隔環及邊緣環之材料而控制該RF阻抗路徑。
一般而言,自一加電下部電極經由基板支撐物及基板至電漿之RF阻抗路徑可不同於自下部電極一周邊部分至電漿之RF阻抗路徑。來自基板支撐物及基板之邊緣效應可導致整個基板上之非均一電漿密度,其將導致非均一蝕刻。
在不希望受理論所約束之情況下,咸信該介電間隔環將RF耦合減至邊緣環中,並將RF耦合增至基板周邊中。藉由將RF耦合增至基板周邊中,可提高基板周邊上之蝕刻率。將介電間隔環併入基板支撐物周圍亦可降低基板下側及邊緣上之聚合物聚積。此聚合物通常在蝕刻步驟期間生成(例如作為蝕刻光阻材料及/或介電材料之副產物)。
在完成介電蝕刻之後量測傾斜聚合物(例如基板下側及邊緣上之聚合物)之聚積。在不將介電間隔環併入基板支撐物周圍之情況下,完成持10秒(第一過度蝕刻)及30秒(第二過度蝕刻)之標準過度蝕刻時間之蝕刻製程後,觀測到55至65 nm傾斜聚合物聚積。藉由增加過度蝕刻時間,觀測到增加之傾斜聚合物聚積。
使用一介電間隔環將導致傾斜聚合物聚積量減少。藉由在邊緣環與基板支撐物之間併入一介電間隔環(2.62 mm高×0.965 mm寬),可使基板在標準過度蝕刻之後以及標準過度蝕刻時間增加50%之後(意即15秒第一過度蝕刻及45秒第二過度蝕刻)大體上無傾斜聚合物。然而,在過度蝕刻時間進一步增加後,將觀測到傾斜聚合物聚積。表1結合較佳介電蝕刻製程概述了作為過度蝕刻時間之函數的傾斜聚合物聚積範圍(以奈米nm為單位量測)。
一種在一電漿蝕刻室中蝕刻一半導體基板之較佳方法包含將一基板安裝至該電漿蝕刻室中一基板支撐物之支撐表面上,將蝕刻氣體供給至該室中,激發該蝕刻氣體以於便臨近該基板之暴露表面生成電漿,及使用該電漿蝕刻該基板,其中一包含一邊緣環及一介電間隔環之邊緣環總成圍繞該基板支撐物。該邊緣環圍繞該基板支撐物,且該介電間隔環插入該邊緣環與該基板支撐物之間。由於該介電間隔環為一可消耗性部件,因此在蝕刻預定數量之半導體基板後,可將其從室中移除並用另一介電間隔環替換。
儘管在圖式中將電極稱為及描述為"上部"或"下部",然而可構造一併入一邊緣環總成之電漿蝕刻室,以便將該受蝕刻之基板保持(意即夾持)為其受處理側向下而非向上。此外,該邊緣環總成可用於蝕刻非電路基板。
本文使用之術語"包含"用於指定所述特徵、步驟或組件之存在;但該術語之用途不排除存在或添加其他特徵、步驟、組件或其組合。
上述所有參照案係以引用的方式全部併入本文中,該引用的程度就如同已特定及個別地將每個參照案以引用的方式併入本文中一般。
儘管已根據較佳實施例描述了本發明,但應瞭解對於熟習此項技術者,顯然可具有變化及修改。此類變化及修改應視為屬於所附申請專利範圍所定義之本發明之範圍及範疇。
100...電漿蝕刻反應器
110...室
112...入口負載鎖
114...出口負載鎖
120...上部電極總成
125...上部電極
130...上部外殼
132...機構
140...下部電極總成
150...基板支撐表面
160...底板
162...晶圓供給
164...晶圓容器
170...蝕刻氣源
172...真空泵
173...閥門
174...熱轉移液體源
176...負載鎖泵
178...電源
210,310,410...基板
224,324...螺釘
230,330,430...氣源
232,332,432...氣體通道
240,340...下部電極總成
250,350,450...基板支撐物
252,352,452...電極
260,360,460...底板
262,362...凸緣
270,370,470...邊緣環總成
280,380,480...邊緣環
281,381...徑向內部部分
285,385,485...介電間隔環
290,390...聯接環
圖1係一平行板電漿蝕刻反應器之圖解。
圖2展示一平行板電漿蝕刻反應器,其包含一根據一實施例之安裝於一聯接環上之邊緣環總成。
圖3展示一平行板電漿蝕刻反應器,其包含一根據另一實施例之邊緣環總成。
圖4展示一平行板電漿蝕刻反應器,其包含一根據另一實施例之安裝於一底板上之邊緣環總成。
100...電漿蝕刻反應器
110...室
112...入口負載鎖
114...出口負載鎖
120...上部電極總成
125...上部電極
130...上外殼上部外殼
132...機構
140...下部電極總成
150...基板支撐表面
160...底板
162...晶圓供給
164...晶圓容器
170...蝕刻氣源
172...真空泵
173...閥門
174...熱轉移液體源
176...負載鎖泵
178...電源

Claims (27)

  1. 一種適於圍繞一電漿蝕刻室中一基板支撐表面之邊緣環總成,其包含:一邊緣環,其經定尺寸以位於一位於該室中一基板支撐表面上之基板之下,並在該基板的一下表面與該邊緣環的一上表面之間提供一間隙;及一在該邊緣環與該基板支撐表面之間的介電間隔環,其經定尺寸以在一位於該基板支撐表面上之基板的一下表面與該介電間隔環的一上表面之間提供一間隙;其中當該介電間隔環及該邊緣環安裝於該室中時,該介電間隔環之該上表面與該邊緣環之一最內上表面大體上共平面。
  2. 如請求項1之邊緣環總成,其中該介電間隔環結合至一聯接環之一上表面或一底板之一上表面。
  3. 如請求項1之邊緣環總成,其中該邊緣環與該介電間隔環之間的一環狀間隙及/或該介電間隔環與該基板支撐表面之間的一環狀間隙小於0.25mm。
  4. 如請求項1之邊緣環總成,其中該介電間隔環具有一約0.5至2.5mm之寬度及一約1至3mm之高度。
  5. 如請求項1之邊緣環總成,其中該介電間隔環包含一形成於一聯接環之一徑向內表面上的軸向向上延伸部分。
  6. 如請求項2之邊緣環總成,其中該介電間隔環及/或該聯接環由石英製成。
  7. 如請求項1之邊緣環總成,其中該邊緣環由矽、碳化矽、 氧化鋁、氮化鋁、氮化矽、石英或其組合物製成。
  8. 如請求項1之邊緣環總成,其中該邊緣環之一徑向外部部分厚於該介電間隔環。
  9. 如請求項2之邊緣環總成,其進一步包含至少一條延伸通過該聯接環或該底板之氣體通道,該氣體通道適於向該邊緣環及/或該介電間隔環之一鄰近表面提供一熱轉移氣體。
  10. 一種電漿蝕刻室,其包括一適於圍繞一電漿蝕刻室中一基板支撐表面之邊緣環總成,其包含:一具有一基板支撐表面之基板支撐物;一邊緣環,其經定尺寸以位於一基板之下,並在一位於該室中之該基板支撐表面上之基板的一下表面與該邊緣環的一上表面之間提供一間隙;及一在該邊緣環與該基板支撐表面之間的介電間隔環,其經定尺寸以在一位於該基板支撐表面上之基板的一下表面與該介電間隔環的一上表面之間提供一間隙;其中當該介電間隔環及該邊緣環安裝於該室中時,該介電間隔環之該上表面與該邊緣環之一最內上表面大體上共平面。
  11. 如請求項10之電漿室,其中在該基板支撐表面上安裝一基板,以使得該基板之外緣突出於該介電間隔環及該邊緣環之一徑向內部部分。
  12. 如請求項11之電漿室,其中該介電間隔環具有一可有效使該邊緣環與該底板電絕緣之寬度,及一可有效最小化 該介電間隔環與該基板之間的一間隙中之聚合物沈積的高度。
  13. 如請求項10之電漿室,其中該基板支撐表面之一平面與該介電間隔環之該上表面之一平面之間的距離小於約0.25mm,且該基板支撐表面之一平面與該邊緣環一徑向內部部分之該上表面之一平面之間的距離小於約0.25mm。
  14. 如請求項11之電漿室,其中該基板之一下平面與該介電間隔環之該上表面之間的一間隙小於約0.25mm,且該基板之該下平面與該邊緣環該最內上表面的一間隙小於約0.25mm。
  15. 如請求項10之電漿室,其中該電漿蝕刻室包含一平行板反應器,其具有一面向該基板支撐物之上簇射頭電極。
  16. 如請求項10之電漿室,其中該基板支撐物包含一RF驅動電極。
  17. 如請求項10之電漿室,其中該基板支撐物包含一靜電夾盤。
  18. 如請求項10之電漿室,其中該邊緣環總成適於減少以下各物中之至少一者:(i)該邊緣環與該底板之間的RF耦合,(ii)該邊緣環與該底板之間的飛弧,及(iii)該基板支撐表面上所支撐之一基板之一下側及/或邊緣上的聚合物沈積。
  19. 一種在如請求項10之電漿蝕刻室中對一形成於一半導體基板上之層進行蝕刻的方法,該方法包含以下步驟: 將該半導體基板支撐在位於該室內之該基板支撐表面上;向該室提供蝕刻氣體;在該基板之一曝露表面附近形成一電漿;及使用該電漿對形成於該半導體基板上之一或多個層進行蝕刻。
  20. 一種在如請求項10之電漿蝕刻室中更換該介電間隔環之方法,其包含從該室中移除該介電間隔環,並在蝕刻一預定數量之半導體基板後用另一介電間隔環將其更換。
  21. 一種介電間隔環,其經定尺寸以在一位於一電漿蝕刻室中一基板支撐表面上之基板的一下表面與該介電間隔環的一上表面之間提供一間隙,該介電間隔環進一步經定尺寸以被一邊緣環圍繞,其中該邊緣環經定尺寸以位於該基板之下,並在該基板之一下表面與該邊緣環之一上表面之間提供一間隙;其中當該介電間隔環及該邊緣環安裝於該電漿蝕刻室中時,該介電間隔環之該上表面與該邊緣環之一最內上表面大體上共平面。
  22. 如請求項21之介電間隔環,其中該介電間隔環具有一約0.5至2.5mm之寬度及一約1至3mm之高度。
  23. 如請求項21之介電間隔環,其中該介電間隔環包含一形成於一聯接環之一徑向內表面上的軸向向上延伸部分。
  24. 如請求項21之介電間隔環,其中該介電間隔環由石英製成。
  25. 如請求項21之介電間隔環,其中該介電間隔環具有一約0.95至1.0mm之寬度及一約2.5至2.7mm之高度。
  26. 如請求項21之介電間隔環,其中該介電間隔環適於圍繞一電漿蝕刻室中一ESC,且該介電間隔環具有一小於該ESC上所支撐一晶圓之一突出部分的寬度。
  27. 如請求項23之介電間隔環,其中形成於該聯接環之該徑向內表面上的該軸向向上延伸部分係石英材料之一整體部件。
TW095129053A 2005-08-08 2006-08-08 具有介電間隔環之邊緣環總成 TWI417957B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/198,296 US20070032081A1 (en) 2005-08-08 2005-08-08 Edge ring assembly with dielectric spacer ring

Publications (2)

Publication Number Publication Date
TW200715402A TW200715402A (en) 2007-04-16
TWI417957B true TWI417957B (zh) 2013-12-01

Family

ID=37718175

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095129053A TWI417957B (zh) 2005-08-08 2006-08-08 具有介電間隔環之邊緣環總成

Country Status (5)

Country Link
US (3) US20070032081A1 (zh)
KR (1) KR101432832B1 (zh)
CN (1) CN101238553B (zh)
TW (1) TWI417957B (zh)
WO (1) WO2007019049A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI705474B (zh) * 2018-08-13 2020-09-21 美商蘭姆研究公司 結合邊緣環定位及置中特徵部的電漿鞘調諧用可更換及/或可折疊邊緣環組件及使用該組件的系統

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US20070059938A1 (en) * 2005-09-15 2007-03-15 Hanako Kida Method and system for etching silicon oxide and silicon nitride with high selectivity relative to silicon
US7393788B2 (en) * 2006-02-10 2008-07-01 Cook Julie A Method and system for selectively etching a dielectric material relative to silicon
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
KR101132423B1 (ko) * 2007-06-22 2012-04-03 가부시키가이샤 아루박 식각 방법 및 식각 장치
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
JP5264231B2 (ja) * 2008-03-21 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
US8409355B2 (en) * 2008-04-24 2013-04-02 Applied Materials, Inc. Low profile process kit
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
TWI494030B (zh) * 2008-07-07 2015-07-21 Lam Res Corp 供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
CN102666917A (zh) * 2009-11-30 2012-09-12 朗姆研究公司 一种带有成角度侧壁的静电卡盘
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8268184B2 (en) 2010-06-29 2012-09-18 Tokyo Electron Limited Etch process for reducing silicon recess
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
US20120318455A1 (en) * 2011-06-14 2012-12-20 Andreas Fischer Passive compensation for temperature-dependent wafer gap changes in plasma processing systems
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
CN103165374B (zh) * 2011-12-08 2017-05-10 中微半导体设备(上海)有限公司 一种等离子体处理装置及应用于等离子处理装置的边缘环
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
CN103187232B (zh) * 2011-12-28 2015-09-16 中微半导体设备(上海)有限公司 一种减少晶片背面生成聚合物的聚焦环
US9252002B2 (en) 2012-07-17 2016-02-02 Applied Materials, Inc. Two piece shutter disk assembly for a substrate process chamber
EP2912432B1 (en) 2012-10-24 2018-07-04 Genmark Diagnostics Inc. Integrated multiplex target analysis
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
CN103811247B (zh) * 2014-02-17 2016-04-13 清华大学 用于等离子体刻蚀的聚焦环及具有其的等离子体刻蚀装置
KR101594928B1 (ko) * 2014-03-06 2016-02-17 피에스케이 주식회사 기판 처리 장치 및 방법
US11085564B2 (en) 2015-11-11 2021-08-10 Greene, Tweed Technologies, Inc. Sealing rings and sealing ring assemblies for high temperature end applications
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
US20180019169A1 (en) * 2016-07-12 2018-01-18 QMAT, Inc. Backing substrate stabilizing donor substrate for implant or reclamation
US9922857B1 (en) * 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6926225B2 (ja) * 2017-03-31 2021-08-25 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 処理チャンバにおける工作物における材料堆積防止
KR101927936B1 (ko) * 2017-06-09 2018-12-11 세메스 주식회사 기판 처리 장치
KR102383784B1 (ko) * 2017-12-15 2022-04-08 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
CN111902922B (zh) 2018-04-18 2024-04-19 应用材料公司 具有自定心特征的两件式快门盘组件
KR102500219B1 (ko) 2018-05-12 2023-02-14 어플라이드 머티어리얼스, 인코포레이티드 통합된 셔터 개라지를 갖는 사전-세정 챔버
CN109767968B (zh) * 2018-12-17 2021-06-08 北京北方华创微电子装备有限公司 下电极结构及反应腔室
CN111354672B (zh) * 2018-12-21 2023-05-09 夏泰鑫半导体(青岛)有限公司 静电卡盘及等离子体加工装置
US10784089B2 (en) * 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11018046B2 (en) 2019-04-12 2021-05-25 Samsung Electronics Co., Ltd. Substrate processing apparatus including edge ring
US20220235459A1 (en) * 2019-06-18 2022-07-28 Lam Research Corporation Reduced diameter carrier ring hardware for substrate processing systems
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP2022538455A (ja) * 2019-07-01 2022-09-02 アプライド マテリアルズ インコーポレイテッド プラズマカップリング材料の最適化による膜特性の変調
KR102116474B1 (ko) * 2020-02-04 2020-05-28 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
CN113097038B (zh) * 2021-02-25 2022-07-15 长江存储科技有限责任公司 刻蚀装置
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030075274A1 (en) * 1995-09-01 2003-04-24 Halpin Michael W. Wafer support system
CN1468322A (zh) * 2000-10-06 2004-01-14 ��ķ�о����޹�˾ 等离子加工用的静电夹紧边环
WO2004095529A2 (en) * 2003-03-21 2004-11-04 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS5911629A (ja) 1982-07-12 1984-01-21 Toshiba Corp 表面清浄化方法
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US6140612A (en) * 1995-06-07 2000-10-31 Lam Research Corporation Controlling the temperature of a wafer by varying the pressure of gas between the underside of the wafer and the chuck
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
WO1997011482A2 (en) 1995-09-05 1997-03-27 Lsi Logic Corporation Removal of halogens and photoresist from wafers
US5805408A (en) * 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5667631A (en) * 1996-06-28 1997-09-16 Lam Research Corporation Dry etching of transparent electrodes in a low pressure plasma reactor
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6551939B2 (en) * 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US6149829A (en) * 1998-03-17 2000-11-21 James W. Mitzel Plasma surface treatment method and resulting device
US7014788B1 (en) * 1998-06-10 2006-03-21 Jim Mitzel Surface treatment method and equipment
US6013984A (en) * 1998-06-10 2000-01-11 Lam Research Corporation Ion energy attenuation method by determining the required number of ion collisions
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6852636B1 (en) * 1999-12-27 2005-02-08 Lam Research Corporation Insitu post etch process to remove remaining photoresist and residual sidewall passivation
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6746961B2 (en) * 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7850174B2 (en) * 2003-01-07 2010-12-14 Tokyo Electron Limited Plasma processing apparatus and focus ring
TWI488236B (zh) * 2003-09-05 2015-06-11 Tokyo Electron Ltd Focusing ring and plasma processing device
JP4588391B2 (ja) 2004-09-01 2010-12-01 芝浦メカトロニクス株式会社 アッシング方法及びアッシング装置
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030075274A1 (en) * 1995-09-01 2003-04-24 Halpin Michael W. Wafer support system
CN1468322A (zh) * 2000-10-06 2004-01-14 ��ķ�о����޹�˾ 等离子加工用的静电夹紧边环
WO2004095529A2 (en) * 2003-03-21 2004-11-04 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI705474B (zh) * 2018-08-13 2020-09-21 美商蘭姆研究公司 結合邊緣環定位及置中特徵部的電漿鞘調諧用可更換及/或可折疊邊緣環組件及使用該組件的系統

Also Published As

Publication number Publication date
WO2007019049A3 (en) 2007-12-27
US20090186487A1 (en) 2009-07-23
CN101238553B (zh) 2014-07-02
KR101432832B1 (ko) 2014-08-26
US20130292056A1 (en) 2013-11-07
US8911589B2 (en) 2014-12-16
KR20080032163A (ko) 2008-04-14
US20070032081A1 (en) 2007-02-08
TW200715402A (en) 2007-04-16
CN101238553A (zh) 2008-08-06
US8500953B2 (en) 2013-08-06
WO2007019049A2 (en) 2007-02-15

Similar Documents

Publication Publication Date Title
TWI417957B (zh) 具有介電間隔環之邊緣環總成
EP1269529B1 (en) Method for improving uniformity and reducing etch rate variation of etching polysilicon
JP4263245B2 (ja) パーティクル制御方法及びプラズマ処理チャンバー
JP5470421B2 (ja) プラズマ処理チャンバ
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
JP5215875B2 (ja) プラズマエッチングチャンバのための統合型の容量および誘導電源
CN100353505C (zh) 选择性蚀刻掺杂碳的低介电常数材料的方法
TWI375735B (en) Methods and apparatus for tuning a set of plasma processing steps
US7767055B2 (en) Capacitive coupling plasma processing apparatus
EP1070342B1 (en) Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US8404595B2 (en) Plasma processing method
WO2010047308A1 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP4554815B2 (ja) 汚染制御方法およびプラズマ処理チャンバ
CN111180305A (zh) 在icp等离子体处理腔室中用于高产出、衬底极端边缘缺陷减少的单环设计
KR100842947B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US20220349050A1 (en) Method and apparatus with high conductance components for chamber cleaning