TWI494030B - 供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置 - Google Patents

供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置 Download PDF

Info

Publication number
TWI494030B
TWI494030B TW098122909A TW98122909A TWI494030B TW I494030 B TWI494030 B TW I494030B TW 098122909 A TW098122909 A TW 098122909A TW 98122909 A TW98122909 A TW 98122909A TW I494030 B TWI494030 B TW I494030B
Authority
TW
Taiwan
Prior art keywords
plasma
probe
upper electrode
processing chamber
head
Prior art date
Application number
TW098122909A
Other languages
English (en)
Other versions
TW201010522A (en
Inventor
Jean-Paul Booth
Douglas L Keil
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201010522A publication Critical patent/TW201010522A/zh
Application granted granted Critical
Publication of TWI494030B publication Critical patent/TWI494030B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R19/00Arrangements for measuring currents or voltages or for indicating presence or sign thereof
    • G01R19/0046Arrangements for measuring currents or voltages or for indicating presence or sign thereof characterised by a specific application or detail not covered by any other subgroup of G01R19/00
    • G01R19/0061Measuring currents of particle-beams, currents from electron multipliers, photocurrents, ion currents; Measuring in plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置
本發明係關於一種用於量測電漿處理系統的處理腔室內之處理參數的裝置。
關於產生積體電路(ICs),電漿處理系統已長久使用於將基板處理成完成的電子產品。可利用許多電漿產生技術,例如包含感應式耦合電漿、電容式耦合電漿、微波、電子迴旋共振(ECR,electron-cyclotron resonance)等,來產生電漿。
在基板的處理過程中,正確且及時監控電漿處理腔室內側之各種處理參數,是高度令人嚮往的。面向電漿探針或感測器技術,其包含將由導電材料製成的探針或感測器表面暴露於電漿,已長久被使用於此等監控任務。已被用於量測處理參數的一種面向電漿監控探針的形式為離子流探針,例如在發明名稱為「Apparatus For Measuring A Set Of Electrical Characteristics In A Plasma.」的美國專利第7,319,316號中所說明者。在前述美國專利第7,319,316號中,使用實質上共平面的探針來量測電漿處理腔室內的離子流。量測的離子流可隨後用於查明以下事項,例如:腔室調節處理的終點、用於量測電漿性質(例如,離子飽和電流、電子溫度、浮動電位等)、用於腔室相配(例如尋找應為表面上相同的腔室之間的差異)、用於偵測腔室中的錯誤及問題等。
若干離子流探針的量產版本已被實施於本領域中,且已被發現可能有改良的機會。為了幫助討論,圖1顯示典型的離子流探針裝置。在圖1中,離子流探針102設置在電漿處理腔室的上電極中的孔隙104中。上電極典型上由鋁或石墨所形成,且具有由例如矽的適合材料所形成的面向電漿表面106。
離子流探針102包含主幹110,用於與支撐結構(如顯示的部分112)耦合。主幹110典型上由例如鋁之導電及導熱材料所形成。如顯示,絕緣環114環繞主幹110,且被設計成可於孔隙104內提供主幹110之對中支撐,亦可使主幹110與上電極的剩餘部分電性絕緣。
離子流探針亦包含面向電漿探針頭120,其由被設計成與上電極的面向電漿表面106實質上化學及電性類似的材料所形成,以幫助準確量測電漿參數(在圖1的例子中,設置在上電極下方)。在圖1的情況下,探針頭120也是由矽所形成。O型環130係提供來防止汙染物經由離子流探針102與孔隙104之間的間隙136而落進腔室內。間隙136是因為機械公差而存在,並亦可容納處理循環期間的熱膨脹。O型環130典型上由具彈性的彈性體所形成,且亦作用為密封腔室內側的處理氣體,以避免其經由上述間隙向上逸失。
環132顯示環繞探針頭120而設置。環132可能由石英(如圖1的例子的情況)或另一適合的介電材料所製成。石英環132係設計來使探針頭120與上電極的剩餘部分電性絕緣。石英環132的第二個功能為防止O型環過度地被腔室內產生的電漿的高能離子或原子團攻擊。
然而,吾人已注意到有改進離子流探針的設計及改進固接離子流探針在腔室內的方式的機會。例如,因為石英環132為與探針頭120的矽材料或與上電極的面向電漿表面106的矽材料不同的材料,故已發現:在電漿處理期間,石英環132的存在會在腔室中產生化學品載入情況(chemical loading condition)。在某些蝕刻處理過程中,例如在介電蝕刻期間,石英環132的蝕刻可改變腔室內側的化學或電漿組成,而導致基板上的非期望的蝕刻結果。再者,由於石英環被消耗,在上電極的下表面與探針頭120的面向電漿表面之間可發現凹處,且可能產生「聚合物捕集器」,在隨後的處理循環期間,潛在地增加基板上的微粒汙染物的可能性。再者,隨著石英環132被腐蝕,因為呈現至電漿的探針頭幾何形狀已改變,因而使用離子流探針的量測可能失真。
如圖1中所見,在電漿(其形成在圖1的上電極下方)與O型環之間存在直接的視線(line-of-sight)。此直接的視線允許電漿組成成分,例如高能離子及原子團,可到達O型環,因而促成O型環加快劣化的速率。O型環130的加快劣化,為了更換O型環130的目的,需要較高的維修頻率,其導致更多的系統停機時間,減少電漿系統的生產量,及電漿處理機台擁有者的較高成本。
圖1之裝置的另一問題係關於離子流探針102與上電極的剩餘部分之間缺少關聯性。因為離子流探針102係偶合至與上電極獨立的支撐結構112,吾人已發現在安裝過程中,準確地放置離子流探針102,以確認探針頭120的下表面與上電極的下表面106齊平,已成為挑戰。
圖1之離子流探針的另一實施態樣,亦可關於熱平衡作改進。為了準確的量測,較佳者為離子流探針,特別是離子探針頭120,能與上電極的剩餘部分盡可能快的達到熱平衡。然而,因為圖1的離子流探針102係機械耦合至支撐結構112,且僅經絕緣環114及石英環132(兩者皆為相對差之熱導體)附帶地與上電極的剩餘物接觸,在探針頭120與上電極之間快速達到局部熱平衡的目標並非始終能令人滿意地達成。
本發明在一實施例中係關於一種用於量測電漿處理系統的處理腔室內之處理參數的裝置。此裝置包含探針裝置,設置在上電極的開口中,其中探針裝置包含探針頭。探針頭包含頭部,其為具有面向電漿表面的圓柱栓,且設置在上電極的開口內。探針頭包含凸緣部分,其為具有大於頭部分之直徑的中空圓柱結構,且設置在上電極的上表面上方。此裝置亦包含O型環,設置在上電極的上表面與探針頭的凸緣部分之面向底部表面之間。此裝置更包含間隔件,其由電絕緣材料所製成,且設置在探針頭的頭部的垂直側壁與上電極的開口的垂直側壁之間,以在探針裝置插入上電極的開口內時,防止探針裝置與上電極碰觸。間隔件包含盤狀部,用以至少支撐探針頭的凸緣部的下側。間隔件包含中空圓柱部,用以環繞探針頭的頭部,其具有小於盤狀部分的直徑。間隔件形成O型環與通往處理腔室的開口之間的直角路徑,因而防止O型環與通往處理腔室的開口之間的直接視線路徑。
上述之發明內容僅關於在此揭露之本發明之許多實施例之其一,且不意謂限制在申請專利範圍中提出之本發明之範疇。在本發明之詳細說明中並結合隨附圖式,本發明之這些及其他特徵將更詳細的說明如下。
本發明今將參考其如附圖所示之幾個較佳實施例加以詳述。在接下來的敘述中,提出許多特定細節以提供對於本發明的全盤瞭解。然而,明顯地,熟習本技藝者應理解,本發明可在沒有部分或全部此等特定細節下被實施。在其他情況中,並未詳細敘述熟知的處理步驟及/或結構,以避免不必要地混淆本發明。
本發明的實施例係關於改進的離子流設計,在其中絕緣/間隔環(例如圖1的石英環132之石英材料)的介電材料的直接電漿曝露已被消除。再者,已納入創新的設計改變,例如使用高縱橫比真空間隙及銳利角度的電漿至O型環路徑,使O型環的使用壽命延長。此外,在一個以上的實施例中,改進的設計以機械方式指引離子流至上電極,因而使其能夠準確地放置離子流探針,使得面向電漿探針頭表面可與上電極之面向電漿表面齊平。創新的設計改變亦已改進離子流探針與環繞上電極材料之間的熱傳導,使其能夠更快速地達到離子流探針與上電極之間的局部熱平衡。
參考隨後的圖式及討論,本發明之實施例的特徵和優點將更加明瞭。根據本發明的一個以上的實施例,圖2顯示改進的離子流探針202設置在上電極204中。離子流探針202包含探針頭206,其與插座208電性接觸。探針頭206由與上電極204的面向電漿表面相同的材料所形成,在一實施例中為矽。
或者,探針頭206可由允許使離子流量測準確的同時,使電漿處理上的負面影響最小化的不同材料所形成。插座208係由導電材料所製成,且經由導電焊料或黏著劑而電性耦合至探針頭206,例如如果插座208由鋁所形成及探針頭206由矽所形成,則該導電焊料可例如為包含錫/銀的焊料。接觸棒(未顯示),可插入插座208內,以接收及傳遞經由探針頭206所獲得的量測值。
探針頭206具有頭部分206a及凸緣部分206b。頭部分206a為圓柱柱栓,其用於使面向電漿表面206c與上電極204的面向電漿表面210齊平。在一實施例中,凸緣部分206b為具有大於頭部分206a之直徑的中空圓柱體結構。在一實施例中,由中空圓柱部分212a結合盤狀部分212b提供並形成間隔212。在一實施例中,關於介電蝕刻應用,因為SiN材料與介電蝕刻的相容性,間隔212係由SiN所形成。然而,任何電性絕緣及與預期之電漿處理相容的堅固材料,亦可使用於間隔212。
中空圓柱部分212a係用於環繞探針頭206的頭部分206a,而盤狀部分212b係用於支撐探針頭206的凸緣部分206b的下側。兩個肩部216a及216b係建立在上電極204內,以容納中空圓柱部分212a及盤狀部分212b。當間隔212設置在開口220內,及探針頭206亦設置在開口220中時,中空圓柱部分212a夾在頭部分206a的垂直側壁與開口220的側壁之間,如圖所示。再者,盤狀部分212b夾在凸緣部分206b的下側與形成在上電極204之外的上表面226之間。藉由將間隔212以討論過的方式夾在中間,間隔212用以使探針頭可準確地設置在開口220內,使得在上電極204的環繞材料中,探針頭206的垂直側壁與開口220的垂直側壁之間產生小間隙222。準確將探針頭放置在開口220內,對於避免探針頭206與上電極204的環繞材料之間的不注意的電短路是重要的。
如圖2所示,O型環228夾在上表面226與凸緣部分206b的外側之間。再者,O型環228係設置在凸緣部分206b的外側。O型環228防止汙染物到達腔室內部(在圖2的例子中,上電極204的下方),及亦幫助防止不受控制的腔室氣體進入或外出,因而增進處理穩定性。
間隙222為經設計成無法支持間隙中之電漿的高縱橫比間隙(即長度遠大於寬度)。高縱橫比間隙亦在間隙中呈現大表面積,使得任何穿透間隙222的原子團在到達O型環228之前,將可能沿間隙壁重組及/或中和。由間隔件212在電漿至O型環228路徑中所建立的直角彎,亦幫助減少高能離子到達O型環的數目,因為這些高能離子可能撞擊在直角彎的角落上且在到達O型環228前被中和。
以此方式,改進的設計避免電漿與O型環之間直接的視線路徑,因而幫助O型環有較長的使用壽命。此外,不需石英環出現在電漿,因此消除有關先前技術裝置的缺點(例如:在電漿環境中存在非期望的石英材料、因為非故意地石英材料的蝕刻而存在的化學品載入、及可能以與探針頭及環繞面向電漿上電極材料的蝕刻不同的速率發生的石英材料本身之蝕刻)。取而代之,間隙222作為絕緣間隙「環」,以使探針頭206的頭部分206a與環繞開口220的上電極材料電性絕緣。
在圖2的實施例中,上電極204係由一個以上的上層結合至面向電漿層所形成。如所述,在圖2的實施例中,面向電漿層230為矽,以關於例如介電蝕刻的蝕刻處理呈現相容的材料。上層可由例如鋁或石墨的導電及導熱材料所形成。在圖2的實施例中,上層232為石墨。為了提供探針202結構支撐,將套筒240形成在上電極204中。在圖2的實施例中,雖然亦可使用例如不銹鋼的其他結構適合材料,套筒240係由鋁所形成。在一實施例中,套筒240可結合上電極的石墨材料。
鋁套筒240包含內部帶螺紋部240a及耳部240b。內部帶螺紋部240a具有內部螺紋以容納帶螺紋的扣環250,其可由例如鋁或不銹鋼的材料所製成。熱接觸環252係設置在探針頭206的凸緣部分206b的上表面與帶螺紋的扣環250的下表面之間。熱接觸環252可由適合材料所形成,舉例來說,例如矽聚合物或氧化鋁,以幫助探針頭206與帶螺紋的扣環250之間的熱傳導,同時提供探針頭206與帶螺紋的扣環250之間的電絕緣功能。
當帶螺紋的扣環250與鋁套筒240的帶螺紋部240a的內部螺 紋囓合且旋緊(經由工具修整孔254),螺紋扣環250作用為推力環(thrust ring),且迫使凸緣部分206b向下抵著上表面226,以牢固地抓住凸緣部分206b並將探針頭206固定在開口220內。以此方式,探針206係確實地定位於關於上電極204的垂直方向上,因而允許更準確關於上電極204放置探針206,以達到探針頭206的面向電漿表面與上電極204的面向電漿表面210之間的期望齊平表面。帶螺紋的扣環提供的壓縮力量亦壓縮O型環228,因而增進密封效果。螺紋扣環較佳地具有較插座208的直徑為大的直徑的內穿孔。以此方式,不會有插座208與帶螺紋的扣環250之間的導電路徑。
當帶螺紋的扣環250旋緊時,耳部240b結構上抵抗可能施加於套筒240上的旋轉力矩。耳部240b(可有一個或許多個)亦在套筒240與上電極的剩餘石墨材料之間呈現大的熱接觸區域。以熱量來說,經由熱接觸環252、帶螺紋的扣環250、及鋁套筒240,在探針頭206至環繞鋁套筒240的上電極材料之間有一有效率的導熱路徑。以此方式且與圖1的先前技術裝置不同,探針206可更快地達到與上電極204的剩餘物的熱平衡,因而使量測能夠更準確及/或及時,而不需等待長的熱穩定期間。
須注意,如果上電極204的上層232係由可結構性形成螺紋以容納帶螺紋的扣環250的材料(例如鋁或不銹鋼)所形成,那麼可以排除套筒240。在此情況下,螺紋可直接產生在上電極204的材料中。此外,插座208係為了方便而設置,在一實施例中可以排除。如果排除插座208,若需要可直接將接觸棒結合至探針頭206。
雖然本發明已就幾個實施例加以描述,仍有落入本發明範疇內之變更、替換、及等效物。例如,僅管使用離子流探針來說明放置及安裝探針的創新結構,在此的創新機械結構及裝置可被用於準確放置及固接任何面向電漿感測器至任何腔室表面上(其包含但不限制於上電極),以幫助電漿處理期間的一個以上參數的量測。此等感測器的例子包含但不限制於:自激發電子共振光譜儀(SEERS,self-exited electron resonance spectroscopy)、蘭摩爾探針(Langmuir probes)或其等之變化物。吾人亦須注意,有許多替代方式可以實施本發明之方法及設備。僅管在此提供了各種實施例,但有關本發明,此等實施例僅為例示性而並非限制性。
並且,在此提供之發明名稱及發明內容係為了方便而不應用來解釋在此之申請專利範圍的範疇。再者,摘要係以非常簡要的方式書寫,並為了方便提供於此,且因此不應用來解釋或限制陳述在申請專利範圍中的整體發明。如果此處使用術語「組」,此術語具有其公知的數學含義,含括零、一、或多於一個構件。或者,意謂下附之申請專利範圍應解釋為包含落入本發明之真實精神及範疇內的所有此等變更、替換、及等效物。
102...離子流探針
104...孔隙
106...面向電漿表面
110...主幹
112...支撐結構
114...絕緣環
120...探針頭
130...O型環
132...石英環
136...間隙
202...離子流探針
204...上電極
206...探針頭
206a...頭部
206b...凸緣部
206c...面向電漿表面
208...插座
210...面向電漿表面
212...間隔
212a...中空圓柱部分
212b...盤狀部
216a...肩部
216b...肩部
222...間隙
226...上表面
228...O型環
230...面向電漿層
232...上層
240...套筒
240a...內部帶螺紋部
240b...耳部
250...帶螺紋的扣環
252...熱接觸環
254...工具修整孔
本發明在隨附圖式之圖中以範例而非限制的方式說明,且其中類似參考數字係指相似元件,且其中:
圖1顯示先前技術之離子流探針裝置。
根據本發明之一實施例,圖2顯示一改進的離子流探針裝置。
202‧‧‧離子流探針
204‧‧‧上電極
206‧‧‧探針頭
206a‧‧‧頭部
206b‧‧‧凸緣部
206c‧‧‧面向電漿表面
208‧‧‧插座
210‧‧‧面向電漿表面
212‧‧‧間隔件
212a‧‧‧中空圓柱部
212b‧‧‧盤狀部
216a‧‧‧肩部
216b‧‧‧肩部
222‧‧‧間隙
226‧‧‧上表面
228‧‧‧O型環
230‧‧‧面向電漿層
232‧‧‧上層
240‧‧‧套筒
240a‧‧‧內部帶螺紋部
240b‧‧‧耳部
250‧‧‧帶螺紋的扣環
252‧‧‧熱接觸環
254‧‧‧工具修整孔

Claims (19)

  1. 一種用於量測電漿處理系統的處理腔室內之處理參數的裝置,包含:一探針裝置,設置在一上電極的一開口中,其中該探針裝置包含一探針頭且該探針頭包含一頭部,其中該頭部為具有一面向電漿表面的一圓柱栓,且設置在該上電極的該開口內,及一凸緣部,其中該凸緣部為具有大於該頭部之一直徑的一中空圓柱結構,且設置在該上電極的一上表面上方;一熱接觸環,設置在該凸緣部與一螺紋扣環之間;一O型環,設置在該上電極的該上表面與該探針頭的該凸緣部之一面向底部(bottom-facing)表面之間;及一間隔件,由一電絕緣材料所製成,且設置在該探針頭的該頭部的一垂直側壁與該上電極的該開口的一垂直側壁之間,以在將該探針裝置插入該上電極的該開口內時,防止該探針裝置與該上電極碰觸,其中該間隔件包含一盤狀部,用以至少支撐該探針頭的該凸緣部的一下側,及一中空圓柱部,用以環繞該探針頭的該頭部,其中該中空圓柱部分具有小於該盤狀部的一直徑,其中,該間隔件形成該O型環與通往該處理腔室的一開口之間的一直角路徑,因而防止該O型環與通往該處理腔室的該開口之間的一直接視線路徑,該上電極包含一套筒,該套筒包含一內螺紋部,且該內螺紋部係與一螺紋扣環相囓合,藉此壓縮該O型環及迫使該探針頭的該凸緣部向下抵著該上電極的該上表面。
  2. 如申請專利範圍第1項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該探針裝置為一離子流探針。
  3. 如申請專利範圍第1項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該探針頭係由矽所製成。
  4. 如申請專利範圍第1項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該探針頭的該面向電漿表面與該上電極的一面向電漿表面齊平。
  5. 如申請專利範圍第1項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該間隔件係由氮化矽(SiN)所製成。
  6. 如申請專利範圍第1項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中:該間隔件在該頭部的該垂直側壁與該上電極的該開口的該垂直側壁之間,形成一高縱橫比間隙;且該高縱橫比間隙之一垂直長度大於一水平寬度。
  7. 如申請專利範圍第1項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該探針頭與由一導電材料製成之一插座電性接觸。
  8. 如申請專利範圍第7項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該探針頭係經由一導電焊料耦合至該插座。
  9. 如申請專利範圍第8項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中一接觸棒係插入該插座內,其中該接觸棒係用以至少接收及傳遞自該探針頭獲得之量測資料。
  10. 如申請專利範圍第1項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中一接觸棒係結合至該探針頭,其中該接觸棒係用以至少接收及傳遞自該探針頭獲得之量測資料。
  11. 如申請專利範圍第1項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該上電極係用以包含:一面向電漿層,其中該面向電漿層係由矽所製成;及複數上層,其中該複數上層係結合至該面向電漿層,該複數上層係由一導電及導熱材料所製成。
  12. 如申請專利範圍第11項之用於量測電漿處理系統的處理 腔室內之處理參數的裝置,其中該複數上層係由鋁所製成。
  13. 如申請專利範圍第11項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該複數上層係由石墨所製成。
  14. 如申請專利範圍第11項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該上電極包含一耳部。
  15. 如申請專利範圍第14項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該套筒係由鋁所製成。
  16. 如申請專利範圍第1項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該螺紋扣環包含具有大於一插座的一直徑的一較大直徑的一內穿孔,其中該插座係與該探針頭電性接觸。
  17. 如申請專利範圍第16項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中一熱接觸環係設置在該凸緣部的一面向上部(upper-facing)表面與該螺紋扣環的一下表面之間,其中該熱接觸環使該探針頭與該螺紋扣環電性絕緣。
  18. 如申請專利範圍第17項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該熱接觸環係由矽聚合物所製成。
  19. 如申請專利範圍第11項之用於量測電漿處理系統的處理腔室內之處理參數的裝置,其中該上電極係結合該套筒。
TW098122909A 2008-07-07 2009-07-07 供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置 TWI494030B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US7874508P 2008-07-07 2008-07-07

Publications (2)

Publication Number Publication Date
TW201010522A TW201010522A (en) 2010-03-01
TWI494030B true TWI494030B (zh) 2015-07-21

Family

ID=41504582

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098122909A TWI494030B (zh) 2008-07-07 2009-07-07 供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置

Country Status (6)

Country Link
US (1) US8547085B2 (zh)
JP (1) JP5661622B2 (zh)
KR (1) KR101588482B1 (zh)
CN (1) CN102084475B (zh)
TW (1) TWI494030B (zh)
WO (1) WO2010005932A2 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4409589B2 (ja) * 2007-07-31 2010-02-03 株式会社 日立ディスプレイズ 液晶表示装置
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
JP5427888B2 (ja) 2008-07-07 2014-02-26 ラム リサーチ コーポレーション プラズマ処理チャンバ内のストライクステップを検出するための容量結合静電(cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
JP5734185B2 (ja) 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のプラズマ不安定性事象を検出するための構成、及び、プラズマ不安定性事象を検出する方法
WO2010005934A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Rf-biased capacitively-coupled electrostatic (rfb-cce) probe arrangement for characterizing a film in a plasma processing chamber
WO2010005929A2 (en) 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
US8889021B2 (en) * 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
US9017513B2 (en) * 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
CN107680897B (zh) * 2017-10-10 2024-02-09 中国科学技术大学 一种精密移动且侧面引线的介入式诊断装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW423072B (en) * 1998-06-30 2001-02-21 Lam Res Corp Electrode for plasma processes and method for manufacture and use thereof
TW483037B (en) * 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
JP2002241939A (ja) * 2001-02-16 2002-08-28 Canon Inc 真空シール構造および真空処理装置
US6894474B2 (en) * 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
TW200806091A (en) * 2006-06-07 2008-01-16 Lam Res Corp Method and apparatus to detect fault conditions of a plasma processing reactor
TW200812443A (en) * 2006-03-15 2008-03-01 Lam Res Corp Adjustable height PIF probe
US20080066861A1 (en) * 2005-06-29 2008-03-20 Christopher Kimball Plasma processing chamber with an apparatus for measuring set of electrical characteristics in a plasma

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2008A (en) * 1841-03-18 Gas-lamp eok conducting gas pkom ah elevated buhner to one below it
US2007A (en) * 1841-03-16 Improvement in the mode of harvesting grain
US2006A (en) * 1841-03-16 Clamp for crimping leather
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US2004A (en) * 1841-03-12 Improvement in the manner of constructing and propelling steam-vessels
US2002A (en) * 1841-03-12 Tor and planter for plowing
US2005A (en) * 1841-03-16 Improvement in the manner of constructing molds for casting butt-hinges
US4595487A (en) * 1985-03-18 1986-06-17 Kennecott Corporation Sensing probe holder system
US5473162A (en) * 1987-10-26 1995-12-05 Baylor University Infrared emission detection of a gas
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4982067A (en) * 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5175472A (en) * 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JPH0737817A (ja) 1993-06-28 1995-02-07 Sony Corp プラズマ計測用プローブ及びこれを用いたプラズマ計測方法
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
FR2738984B1 (fr) * 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
US6345589B1 (en) 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
US5985092A (en) * 1996-12-17 1999-11-16 United Microelectronics Corp. Endpoint detection system
US5989349A (en) * 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US6024831A (en) * 1997-08-20 2000-02-15 Vanguard International Semiconductor Corporation Method and apparatus for monitoring plasma chamber condition by observing plasma stability
JPH11354509A (ja) * 1998-04-07 1999-12-24 Seiko Epson Corp プラズマエッチングの終点検出方法及びプラズマエッチング装置
JP2000003909A (ja) * 1998-06-15 2000-01-07 Kishimoto Sangyo Co Ltd 半導体デバイス用絶縁膜および半導体デバイス
JP2000031072A (ja) * 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置
US6344084B1 (en) * 1998-09-11 2002-02-05 Japan Science And Technology Corporation Combinatorial molecular layer epitaxy device
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
JP2001144071A (ja) 1999-11-10 2001-05-25 Toshiba Corp プラズマ処理方法及びその装置
NL1013938C2 (nl) * 1999-12-23 2001-06-26 Asm Int Inrichting voor het behandelen van een wafer.
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6347749B1 (en) * 2000-02-09 2002-02-19 Moore Epitaxial, Inc. Semiconductor processing reactor controllable gas jet assembly
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP3968211B2 (ja) * 2000-08-31 2007-08-29 株式会社日立製作所 微弱磁場計測デュワー
JP2002118098A (ja) * 2000-10-10 2002-04-19 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20040028810A1 (en) * 2000-10-16 2004-02-12 Primaxx, Inc. Chemical vapor deposition reactor and method for utilizing vapor vortex
US6833710B2 (en) * 2000-10-27 2004-12-21 Axcelis Technologies, Inc. Probe assembly for detecting an ion in a plasma generated in an ion source
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
JP4128339B2 (ja) * 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7163587B2 (en) * 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6730174B2 (en) * 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US7093560B2 (en) * 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20030210510A1 (en) 2002-05-07 2003-11-13 Hann Thomas C. Dynamic dechucking
US20030213559A1 (en) 2002-05-20 2003-11-20 Applied Science And Technology, Inc. Stabilization of electronegative plasmas with feedback control of RF generator systems
JP2005527983A (ja) * 2002-05-29 2005-09-15 東京エレクトロン株式会社 データハンドリング、ストレージ及び操作のための方法とシステム
US6953936B2 (en) * 2002-06-27 2005-10-11 Honeywell International, Inc. Ionization type smoke sensing chamber
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
KR20040024720A (ko) 2002-09-16 2004-03-22 삼성전자주식회사 건식 식각 장치의 플라즈마 감지 시스템
US20040126492A1 (en) * 2002-12-30 2004-07-01 Weaver Scott Andrew Method and apparatus for using ion plasma deposition to produce coating
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US6939726B2 (en) 2003-08-04 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Via array monitor and method of monitoring induced electrical charging
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
JP4364667B2 (ja) * 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
US20050229849A1 (en) * 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050212450A1 (en) * 2004-03-16 2005-09-29 Scientific Systems Research Limited Method and system for detecting electrical arcing in a plasma process powered by an AC source
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7691243B2 (en) * 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
US7334477B1 (en) * 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7578301B2 (en) * 2005-03-28 2009-08-25 Lam Research Corporation Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US9520276B2 (en) * 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
KR20070035346A (ko) 2005-09-27 2007-03-30 삼성전자주식회사 플라즈마 감지 시스템이 구비된 플라즈마 처리장치
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
JP4754419B2 (ja) 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
KR20080048310A (ko) 2006-11-28 2008-06-02 삼성전자주식회사 반도체 소자 제조용 플라즈마 장치
US20090007642A1 (en) * 2007-07-05 2009-01-08 Baxter International Inc. Dialysis fluid measurement method and apparatus using conductive contacts
US8070880B2 (en) * 2007-10-22 2011-12-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
CN101970166B (zh) * 2007-12-13 2013-05-08 朗姆研究公司 等离子体无约束传感器及其方法
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
WO2010005934A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Rf-biased capacitively-coupled electrostatic (rfb-cce) probe arrangement for characterizing a film in a plasma processing chamber
JP5427888B2 (ja) * 2008-07-07 2014-02-26 ラム リサーチ コーポレーション プラズマ処理チャンバ内のストライクステップを検出するための容量結合静電(cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
JP5734185B2 (ja) * 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のプラズマ不安定性事象を検出するための構成、及び、プラズマ不安定性事象を検出する方法
WO2010005929A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
TW201130539A (en) * 2010-03-11 2011-09-16 Joong Chenn Industry Co Ltd Exercise device with resistance inspection function
US8333166B2 (en) * 2011-05-04 2012-12-18 Nordson Corporation Plasma treatment systems and methods for uniformly distributing radiofrequency power between multiple electrodes

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW423072B (en) * 1998-06-30 2001-02-21 Lam Res Corp Electrode for plasma processes and method for manufacture and use thereof
TW483037B (en) * 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
JP2002241939A (ja) * 2001-02-16 2002-08-28 Canon Inc 真空シール構造および真空処理装置
US6894474B2 (en) * 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
US20080066861A1 (en) * 2005-06-29 2008-03-20 Christopher Kimball Plasma processing chamber with an apparatus for measuring set of electrical characteristics in a plasma
TW200812443A (en) * 2006-03-15 2008-03-01 Lam Res Corp Adjustable height PIF probe
TW200806091A (en) * 2006-06-07 2008-01-16 Lam Res Corp Method and apparatus to detect fault conditions of a plasma processing reactor

Also Published As

Publication number Publication date
CN102084475A (zh) 2011-06-01
WO2010005932A2 (en) 2010-01-14
TW201010522A (en) 2010-03-01
US8547085B2 (en) 2013-10-01
US20100007337A1 (en) 2010-01-14
JP2011527507A (ja) 2011-10-27
KR101588482B1 (ko) 2016-01-25
WO2010005932A3 (en) 2010-05-06
KR20110039250A (ko) 2011-04-15
JP5661622B2 (ja) 2015-01-28
CN102084475B (zh) 2013-01-30

Similar Documents

Publication Publication Date Title
TWI494030B (zh) 供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置
KR101234938B1 (ko) 플라즈마의 전기적 특성 세트를 측정하기 위한 장치
JP5495476B2 (ja) プラズマプローブ装置およびプラズマプローブ装置を備えたプラズマ処理チャンバ
US8038833B2 (en) Plasma processing apparatus
US10249478B2 (en) Substrate processing apparatus
JP5246836B2 (ja) プラズマ処理装置用のプロセス性能検査方法及び装置
US20120175060A1 (en) Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US20100013626A1 (en) Substrate lift pin sensor
JP2017022216A (ja) プラズマ処理装置
CN109427523B (zh) 等离子体探测装置和等离子体处理装置
US20090032189A1 (en) Substrate processing apparatus having a sensing unit
JP2019046787A (ja) プラズマプローブ装置及びプラズマ処理装置
US7758929B2 (en) Plasma processing apparatus and method
JP2006318689A (ja) 表面波励起プラズマ処理装置
JP2010135448A (ja) センシングユニット及びこれを有する基板処理装置
KR20060088731A (ko) 챔버 내에 장착된 반도체기판의 온도측정 장치
JP2007335339A (ja) 背面電子衝撃加熱装置