KR101588482B1 - 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치 - Google Patents

플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치 Download PDF

Info

Publication number
KR101588482B1
KR101588482B1 KR1020117000512A KR20117000512A KR101588482B1 KR 101588482 B1 KR101588482 B1 KR 101588482B1 KR 1020117000512 A KR1020117000512 A KR 1020117000512A KR 20117000512 A KR20117000512 A KR 20117000512A KR 101588482 B1 KR101588482 B1 KR 101588482B1
Authority
KR
South Korea
Prior art keywords
probe
upper electrode
probe head
ring
plasma
Prior art date
Application number
KR1020117000512A
Other languages
English (en)
Other versions
KR20110039250A (ko
Inventor
쟝-뽈 부스
더글라스 엘 케일
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110039250A publication Critical patent/KR20110039250A/ko
Application granted granted Critical
Publication of KR101588482B1 publication Critical patent/KR101588482B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R19/00Arrangements for measuring currents or voltages or for indicating presence or sign thereof
    • G01R19/0046Arrangements for measuring currents or voltages or for indicating presence or sign thereof characterised by a specific application or detail not covered by any other subgroup of G01R19/00
    • G01R19/0061Measuring currents of particle-beams, currents from electron multipliers, photocurrents, ion currents; Measuring in plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

처리 챔버 내에 프로세스 파라미터를 측정하는 장치가 제공된다. 그 장치는 상부 전극의 개구에 배치된 프로브 장치를 포함한다. 프로브 장치는 프로브 헤드를 포함하고, 그 프로브 헤드는 헤드부 및 플랜지부를 포함한다. 또한, 그 장치는 상부 전극과 플랜지부 사이에 배치된 O-링을 포함한다. 또한, 그 장치는 프로브 장치가 상부 전극에 접촉하는 것을 방지하기 위해 헤드부와 상부 전극의 개구 사이에 위치 결정된 전기적 절연재로 이루어진 스페이서를 포함한다. 스페이서는 플랜지부의 하측을 지지하기 위해 구성된 디스크부를 포함한다. 또한, 스페이서는 헤드부를 둘러싸도록 구성된 중공 원통부를 포함한다. 스페이서는 처리 챔버에 대한 개구와 O-링 사이에 직각 경로를 형성하여, 처리 챔버에 대한 개구와 O-링 사이의 직접적인 가시선을 방지한다.

Description

플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치{PLASMA-FACING PROBE ARRANGEMENT INCLUDING VACUUM GAP FOR USE IN A PLASMA PROCESSING CHAMBER}
플라즈마 처리 시스템들은 집적 회로 (IC) 를 생성하기 위해 기판을 완성된 전자 제품으로 처리하는데 오랫동안 이용되었다. 플라즈마는, 예를 들어, 유도 결합형 플라즈마, 용량 결합형 플라즈마, 마이크로파, 전자-사이클로트론 공진 (ECR), 등을 포함하는 다양한 플라즈마 발생 기술을 이용하여 발생될 수도 있다.
기판의 처리 동안에, 플라즈마 처리 챔버 내의 다양한 프로세스 파라미터들을 정확하게 그리고 적시에 모니터링하는 것은 매우 바람직하다. 전기적 도전재로 이루어진 프로브 또는 센서의 표면을 플라즈마에 노출시키는 것을 수반하는 플라즈마 대향 프로브 또는 센서 기술은, 이러한 모니터링 태스크 (task) 를 위해 오랫동안 이용되었다. 프로세스 파라미터를 측정하는데 이용된 플라즈마 대향 모니터링 프로브 중 하나의 타입은 발명의 명칭이 "Apparatus For Measuring A Set Of Electrical Characteristics In A Plasma" 인 미국 특허 제 7,319,316 호에 기재된 것과 같은 이온 플럭스 (flux) 프로브이다. 전술한 미국 특허 제 7,319,316 호에서, 실질적으로 동일 평면 프로브는 플라즈마 처리 챔버 내의 이온 플럭스를 측정하기 위해 이용된다. 그 후, 측정된 이온 플럭스는, 예를 들어, 챔버 조건 처리의 종점을 확인하고, 플라즈마 속성 (예를 들어, 이온 포화 전류, 전자 온도, 부동 전위 등) 을 측정하고, 챔버 매칭 (예를 들어, 명목상 동일한 챔버 간의 차이를 찾음) 하며, 챔버 내의 결함 및 문제를 검출하는 것 등을 위해 이용될 수도 있다.
일부 제조 버전의 이온 플럭스 프로브는 이 분야에서 구현되었고, 그것은 개선을 위한 기회가 가능하다는 것이 발견되었다. 설명을 용이하게 하기 위해, 도 1 은 종래의 이온 플럭스 프로브 장치를 도시한다. 도 1 에서, 이온 플럭스 프로브 (102) 는 플라즈마 처리 챔버의 상부 전극에서의 개구 (104) 에 배치된다. 상부 전극은 실리콘과 같은 적합한 재료로 형성된 플라즈마 대향면 (106) 과 함께, 전형적으로 알루미늄 또는 그래파이트로 형성된다.
이온 플럭스 프로브 (102) 는 (부분 112 가 도시된) 지지 구조체와 연결하는 스템 (110) 을 포함한다. 스템 (110) 은 전형적으로, 알루미늄과 같은 전기적 및 열적 도전재로 형성된다. 절연 링 (114) 은 도시된 바와 같이 스템 (110) 을 둘러싸고 있고 개구 (104) 내에 스템 (110) 을 위한 중앙 지지체를 제공할 뿐만 아니라 나머지 상부 전극으로부터 스템 (110) 을 전기적으로 절연하도록 설계된다.
또한, 이온 플럭스 프로브 (102) 는 플라즈마 대향 프로브 헤드 (120) 를 포함하고, 그 플라즈마 대향 프로브 헤드 (120) 는 (도 1 의 예에서 상부 전극 아래에 배치된) 플라즈마로부터 파라미터의 정확한 측정을 용이하게 하기 위해 화학적 및 전기적으로 상부 전극의 플라즈마 대향면 (106) 과 실질적으로 유사하게 설계된 재료로 형성된다. 도 1 의 경우, 프로브 헤드 (120) 는 또한 실리콘으로 형성된다. O-링 (130) 은 이온 플럭스 프로브 (102) 와 개구 (104) 사이의 갭 (136) 을 통해 오염물이 챔버로 떨어지는 것을 방지하기 위해 제공된다. 갭 (136) 은 기계적 허용 오차로 인해 존재하고, 또한 처리 사이클 동안 열적 팽창을 수용하기 위해 존재한다. O-링 (130) 은 전형적으로 탄성 엘라스토머로 형성되고, 또한 전술한 갭을 통해 상측으로 새어나가는 것으로부터 챔버 내의 처리 가스를 밀봉하도록 기능한다.
프로브 헤드 (120) 주위에 배치된 링 (132) 이 도시된다. 링 (132) 은 (도 1 의 예의 경우에서와 같이) 석영 또는 다른 적합한 유전체 재료로 이루어질 수도 있다. 석영 링 (132) 은 나머지 상부 전극으로부터 전기적으로 프로브 헤드 (120) 를 절연하도록 설계된다. 석영 링 (132) 의 제 2 기능은 O-링 (130) 을, 챔버 내에 발생된 플라즈마의 고에너지 이온 및 래디컬에 의해 과도하게 공격당하는 것으로부터 보호하는 것이다.
그러나, 이온 플럭스 프로브의 설계 및 챔버 내의 이온 플럭스 프로브의 탑재를 개선하기 위한 기회가 존재한다는 것이 주목되었다. 예를 들어, 석영 링 (132) 이 프로브 헤드 (320) 의 실리콘 재료 또는 상부 전극의 플라즈마 대향면 (106) 의 실리콘 재료와 상이한 재료이기 때문에 플라즈마 처리 동안 챔버 내에 화학적 로딩 조건을 생성하기 위해 석영 링 (132) 의 존재가 발견되었다. 유전체 에칭 동안과 같은 소정의 에칭 프로세스 동안에, 석영 링 (132) 의 에칭이 플라즈마 내의 화학적 또는 플라즈마 조성을 변화시킬 수도 있어, 기판에 대한 바람직하지 않은 에칭 결과를 야기한다. 또한, 석영 링 (132) 이 소모됨에 따라, 상부 전극의 하면과 프로브 헤드 (120) 의 플라즈마 대향면 사이에 오목부가 형성될 수도 있고 "폴리머 트랩" 을 생성할 수도 있어, 후속의 처리 사이클 동안에 기판에 대한 미립자 오염의 가능성을 잠재적으로 증가시킬 수 있다. 또한, 석영 링 (132) 이 부식됨에 따라, 플라즈마에 제공된 프로브 헤드 기하학적 형상이 변화되었기 때문에 이온 플럭스 프로브에 의한 측정은 왜곡될 수도 있다.
도 1 에 도시된 바와 같이, (도 1 의 상부 전극 아래에 형성된) 플라즈마와 O-링 (130) 사이에 직접적인 가시선 (line-of-sight) 이 존재한다. 이 직접적인 가시선은 고에너지 이온 및 래디컬과 같은 플라즈마 구성 성분이 O-링에 도달하는 것을 허용하여, O-링 악화의 가속화된 속도에 기여한다. 가속화된 O-링 (130) 의 악화는 O-링 (130) 을 대체시키기 위해 높은 보수관리 빈도를 필요로 하고, 그것은 시스템 정지시간을 더 많이 야기시켜, 플라즈마 시스템 스루풋을 감소시키고, 플라즈마 처리 툴에 대한 고비용의 소유권을 야기시킨다.
도 1 의 장치와의 다른 이슈는 이온 플럭스 프로브 (102) 와 나머지 상부 전극 사이의 기계적 레퍼런싱 (referencing) 의 부족과 관계한다. 이온 플럭스 프로브 (102) 는 상부 전극과 기계적으로 독립된 지지 구조체 (112) 에 연결되기 때문에, 그것은 프로브 헤드 (120) 의 하면이 상부 전극의 하면 (106) 과 동일면으로 되는 것을 보증하기 위해 설치 동안에 이온 플럭스 프로브 (102) 를 정확하게 위치 결정하는 것이 도전이 되어 왔다는 것이 발견되었다.
또한 개선될 수도 있는 도 1 의 이온 플럭스 프로브 장치의 다른 양태는 열 평형에 관한 것이다. 정확한 측정을 위해, 이온 플럭스 프로브와, 특히 이온 프로브 헤드 (120) 는 나머지 상부 전극과 가능하면 빨리 열 평형에 있는 것이 바람직하다. 그러나, 도 1 의 이온 플럭스 프로브 (102) 는 지지 구조체 (112) 에 기계적으로 연결되어 절연 링 (114) 및 석영 링 (132) (그 양자는 비교적 좋지 않은 (poor) 열 도전체임) 을 통해 상부 전극의 나머지를 부수적으로 접촉할 뿐이므로, 프로브 헤드 (120) 와 상부 전극 사이의 빠른 국부적 열 평형을 달성하기 위한 목적은 항상 만족하게 성취되지 않았다.
일 실시형태에서, 본 발명은 플라즈마 처리 시스템의 처리 챔버 내에 프로세스 파라미터를 측정하는 장치에 관한 것이다. 그 장치는 상부 전극의 개구에 배치된 프로브 장치를 포함하고, 상기 프로브 장치는 프로브 헤드를 포함한다. 프로브 헤드는 헤드부를 포함하고, 그 헤드부는 플라즈마 대향면을 갖는 원통형 플러그이고, 상부 전극의 개구 내에 위치 결정된다. 프로브 헤드는 플랜지부를 포함하고, 그 플랜지부는 헤드부보다 큰 직경을 갖는 중공 원통형 구조이고, 상부 전극의 상면 위에 위치 결정된다. 또한, 그 장치는 상부 전극의 상면과 프로브 헤드의 플랜지부의 하부 대향면 사이에 배치된 O-링을 포함한다. 또한, 그 장치는 프로브 장치가 상부 전극의 개구 내에 삽입될 때 프로브 장치가 상부 전극에 접촉하는 것을 방지하기 위해 프로브 헤드의 헤드부의 수직 측벽과 상부 전극의 개구의 수직 측벽 사이에 위치 결정된 전기적 절연재로 이루어진 스페이서를 포함한다. 스페이서는 적어도 프로브 헤드의 플랜지부의 하측을 지지하기 위해 구성된 디스크부를 포함한다. 스페이서는 프로브 헤드의 헤드부를 둘러싸도록 구성된 중공 원통부를 포함하고, 그 중공 원통부는 디스크부보다 작은 직경을 갖는다. 스페이서는 처리 챔버에 대한 개구와 O-링 사이에 직각 경로를 형성하여, 처리 챔버에 대한 개구와 O-링 사이의 직접적인 가시선을 방지한다.
상기 개요는 본 명세서에 개시된 발명의 많은 실시형태 중 하나에 관한 것일 뿐이고, 본 발명의 범위를 제한할 의도는 없으며, 이는 본 명세서의 청구범위에 제시되어 있다. 이하, 이러한 본 발명의 특징 및 다른 특징은 하기의 도면과 함께 본 발명의 상세한 설명에서 상세히 기술할 것이다.
본 발명은 한정이 아닌 예시로서 설명되며, 첨부 도면의 도면에서 동일한 참조 번호는 동일한 엘리먼트를 지칭한다.
도 1 은 종래 기술의 이온 플럭스 프로브 장치를 도시한다.
도 2 는 본 발명의 일 실시형태에 따른 개선된 이온 플럭스 프로브 장치를 도시한다.
이하, 본 발명은 첨부된 도면에 도시된 바와 같은 몇 가지 실시형태를 참조하여 상세히 기술될 것이다. 다음의 설명에서, 다양한 구체적 세부 내용이 본 발명의 완전한 이해를 제공하기 위해 제시될 것이다. 그러나, 본 발명이 이러한 구체적 세부 내용의 일부 또는 전부 없이 실행될 수도 있다는 것은 당업자에게는 자명하다. 다른 예시에서, 잘 알려진 프로세스 단계 및/또는 구조는, 본 발명을 불필요하게 모호하게 하지 않도록 하기 위해 상세하게 기술되지 않았다.
본 발명의 실시형태들은 (도 1 의 석영 링 (132) 의 석영 재료와 같은) 절연/스페이서 링의 유전체 재료의 직접적인 플라즈마 노출이 제거된, 개선된 이온 플럭스 설계에 관한 것이다. 또한, 높은 애스팩트비의 진공 갭 및 급격히 각진 플라즈마 - O-링 경로를 이용하는 것 등과 같은 혁신적인 설계 변화들은 O-링의 수명을 연장시키기 위해 결합되었다. 추가로, 하나 이상의 실시형태에서, 그 개선된 설계는 기계적으로 상부 전극에 이온 플럭스를 레퍼런싱하여, 이온 플럭스 프로브가 정확히 위치 결정하는 것을 가능하게 하여 플라즈마 대향 프로브 헤드면이 상부 전극의 플라즈마 대향면과 동일면으로 될 수도 있다. 또한, 혁신적인 설계 변경들은 이온 플럭스 프로브와 둘러싸는 상부 전극 재료 사이의 열전도율을 개선하였고, 이온 플럭스 프로브와 상부 전극 사이의 국부적 열 평형을 더욱 빨리 달성하게 하였다.
본 발명의 실시형태들의 특징들 및 이점들은 다음의 도면 및 설명을 참조하여 더욱 잘 이해될 수도 있다. 도 2 는 본 발명의 하나 이상의 실시형태에 따라 상부 전극 (204) 에 배치된 개선된 이온 플럭스 프로브 (202) 를 도시한다. 이온 플럭스 프로브 (202) 는 프로브 헤드 (206) 를 포함하고, 그 프로브 헤드 (206) 는 소켓 (208) 과 전기적 접촉된다. 프로브 헤드 (206) 는 일 실시형태에서 실리콘인 상부 전극 (204) 의 플라즈마 대향면과 동일한 재료로 형성된다.
다른 방법으로는, 프로브 헤드 (206) 는 플라즈마 프로세스에 대한 악영향을 최소화하면서 이온 플럭스 측정이 정확하게 행해지게 하는 상이한 재료로 형성될 수도 있다. 소켓 (208) 은 전기적 도전재로 형성되고, 예를 들어 소켓 (208) 이 알루미늄으로 형성되고 프로브 헤드 (206) 가 실리콘으로 형성된 경우에 주석/은을 포함하는 솔더와 같은 도전성 솔더 또는 본드를 통해 전기적으로 프로브 헤드 (206) 와 연결된다. 접촉 로드 (미도시) 는 소켓 (208) 내에 삽입되어 프로브 헤드 (206) 를 통해 획득된 측정을 수신 및 릴레이할 수도 있다.
프로브 헤드 (206) 는 헤드부 (206a) 및 플랜지부 (206b) 를 갖는다. 헤드부 (206a) 는 상부 전극 (204) 의 플라즈마 대향면 (210) 과 동일면으로 되는 플라즈마 대향면 (206c) 을 갖도록 구성된 원통형 플러그이다. 일 실시형태에서, 플랜지부 (206b) 는 헤드부 (206a) 보다 큰 직경을 갖는 중공 원통형 구조이다. 일 실시형태에서, 스페이서 (212) 는 디스크부 (212b) 와 통합된 중공 원통부 (212a) 로 제공 및 형성된다. 일 실시형태에서, 스페이서 (212) 는 SiN 재료의 유전체 에칭과의 호환성으로 인해 유전체 에칭 적용을 위해 SiN 으로 형성된다. 그러나, 전기적으로 절연되고, 고려된 플라즈마 프로세스와 호환가능한 임의의 경성 재료가, 스페이서 (212) 용으로 이용될 수도 있다.
중공 원통부 (212a) 는 프로브 헤드 (206) 의 헤드부 (206a) 를 둘러싸도록 구성되는 한편, 디스크부 (212b) 는 프로브 헤드 (206) 의 플랜지부 (206b) 의 하측을 지지하도록 구성된다. 2 개의 숄더 (216a 및 216b) 는 상부 전극 (204) 내에 형성되어 중공 원통부 (212a) 및 디스크부 (212b) 를 수용한다. 스페이서 (212) 가 개구 (220) 내에 배치되고 프로브 헤드 (206) 도 또한 개구 (220) 내에 배치되면, 중공 원통부 (212a) 는 도시된 바와 같이 헤드부 (206a) 의 수직 측벽과 개구 (220) 의 측벽 사이에 샌드위치된다. 또한, 디스크부 (212b) 는 플랜지부 (206b) 의 하면과 상부 전극 (204) 의 외측에 형성된 상면 (226) 사이에 샌드위치된다. 설명된 방식으로 스페이서 (212) 를 샌드위치함으로써, 스페이서 (212) 는 개구 (220) 내에 프로브 헤드 (206) 를 정확하게 위치 결정하도록 기능하여, 작은 갭 (222) 이 프로브 헤드 (206) 의 수직 측벽과 상부 전극 (204) 의 둘러싸는 재료의 개구 (220) 의 수직 측벽 사이에 생성된다. 개구 (220) 내의 프로브 헤드 (206) 의 정확한 위치 결정은 프로브 헤드 (206) 와 상부 전극 (204) 의 둘러싸는 재료 사이의 부주의한 전기적 쇼트를 방지하기 위해 중요하다.
O-링 (228) 은 도 2 에 도시된 바와 같이 상면 (226) 과 플랜지부 (206b) 의 하면 사이에 샌드위치된다. 또한, O-링 (228) 은 플랜지부 (206b) 의 외측에 배치된다. O-링 (228) 은 오염물이 챔버 내부 (도 2 의 예에서 상부 전극 (204) 보다 아래) 에 도달하는 것으로부터 방지하고 또한 제어되지 않은 챔버 가스가 유입 또는 유출되는 것을 방지하는 것을 도와서, 프로세스 안정성을 개선시킬 수 있다.
갭 (222) 은 갭 내에 플라즈마를 유지할 수 없도록 설계된 높은 애스팩트비의 갭이다 (즉, 넓이보다 매우 김). 또한, 높은 애스팩트비의 갭은 갭 내에 큰 표면 영역을 제공하여, 갭 (222) 을 관통하는 일부 래디칼은 O-링 (228) 에 도달하기 전에 갭 벽을 따라 재결합 및/또는 중성화될 가능성이 있다. 또한, 스페이서 (212) 에 의해 생성된 플라즈마 - O-링 경로에서의 직각 턴은, 이들 고에너지 이온이 O-링 (228) 에 도달하기 전에 직각 턴의 코너에 충돌하여 중성화될 수도 있기 때문에 O-링 (228) 에 도달하는 고에너지 이온의 수를 감소시키는 것을 돕는다.
이와 같이, 개선된 설계는 플라즈마와 O-링 사이의 직접적인 가시선 경로를 회피하여, O-링에 대한 더 긴 수명에 기여할 수 있다. 추가로, 석영 링은 플라즈마에 제공될 필요가 없어서, (플라즈마 환경에서 석영 재료의 요구되지 않은 존재, 석영 재료의 의도되지 않은 에칭으로 인해 존재하는 화학적 로딩, 및 프로브 헤드 및 둘러싸는 플라즈마 대향 상부 전극 재료의 에칭과 상이한 레이트로 일어날 수도 있는 석영 재료 자체의 에칭과 같은) 종래 기술의 장치와 연관된 단점을 방지할 수 있다. 대신에, 갭 (222) 은 전기적으로 프로브 헤드 (206) 의 헤드부 (206a) 를 개구 (220) 의 둘러싸는 상부 전극 재료로부터 절연하는 절연 갭 "링" 으로서 기능한다.
도 2 의 예에서, 상부 전극 (204) 은 플라즈마 대향층에 접착된 하나 이상의 상층으로 형성된다. 플라즈마 대향층 (230) 은, 언급한 바와 같이, 유전체 에칭과 같은 에칭 프로세스에 대해서 호환성 재료를 나타내는, 도 2 의 예에서는 실리콘이다. 상층은 알루미늄 또는 그래파이트와 같은 전기적 및 열적 도전재로 형성될 수도 있다. 도 2 의 예에서, 상층 (232) 은 그래파이트이다. 프로브 (202) 에 대한 구조적 지지를 제공하기 위하여, 슬리브 (240) 가 상부 전극 (204) 에 형성된다. 도 2 의 예에서, 슬리브 (240) 는 알루미늄으로 형성되지만, 스테인리스 스틸과 같은 다른 구조적으로 적합한 재료가 또한 이용될 수도 있다. 일 실시형태에서, 슬리브 (240) 는 상부 전극의 그래파이트 재료에 접착될 수도 있다.
알루미늄 슬리브 (240) 는 내측 나사부 (240a) 및 이어 (ear) 부 (240b) 를 포함한다. 내측 나사부 (240a) 는 나사형 유지 링 (250) 을 수용하기 위해 내측 나사를 갖고, 그것은 알루미늄 또는 스테인리스 스틸과 같은 재료로 이루어질 수도 있다. 열 접촉 링 (252) 은 프로브 헤드 (206) 의 플랜지부 (206b) 의 상면과 나사형 유지 링 (250) 의 하면 사이에 배치된다. 열 접촉 링 (252) 은, 프로브 헤드 (206) 와 나사형 유지 링 (250) 사이에 전기적 절연 기능을 제공하면서 프로브 헤드 (206) 와 나사형 유지 링 (250) 사이의 열 전도를 용이하게 하기 위해, 예를 들어, 실리콘 폴리머 또는 알루미나와 같은 적합한 재료로 형성될 수도 있다.
나사형 유지 링 (250) 이 알루미늄 슬리브 (240) 의 나사부 (240a) 의 내측 나사와 짝을 이루어 (툴링 홀 (254) 을 통해) 고정되면, 나사형 유지 링 (250) 은 스러스트 링으로서 기능하고 상면 (226) 에 대해 플랜지부 (206b) 를 하측으로 밀어넣어서 플랜지부 (206b) 를 견고하게 포획하고 개구 (220) 내에 프로브 헤드 (206) 를 고정시킨다. 이와 같이, 프로브 헤드 (206) 는 적극적으로 상부 전극 (204) 에 대하여 수직 방향으로 레퍼런싱되어, 상부 전극 (204) 에 대한 프로브 헤드 (206) 의 더욱 정확한 위치 결정이 프로브 헤드 (206) 의 플라즈마 대향면과 상부 전극 (204) 의 플라즈마 대향면 (210) 사이에 원하는 동일면을 달성하게 할 수 있다. 또한, 나사형 유지 링 (250) 에 의해 제공된 가압력은 O-링 (228) 을 가압하여, 밀봉을 개선할 수 있다. 나사형 유지 링 (250) 은 소켓 (208) 의 직경보다 큰 직경을 갖는 내측 스루 홀을 갖는 것이 바람직하다. 이와 같이, 소켓 (208) 과 나사형 유지 링 (250) 사이에는 전기적 도전 경로가 존재하지 않는다.
이어부 (240b) 는 구조적으로, 나사형 유지 링 (250) 이 고정될 때 슬리브 (240) 상에 가해질 수 있는 회전 토크에 저항한다. 또한, (하나 또는 다수일 수도 있는) 이어부 (240b) 는 슬리브 (240) 와 상부 전극의 잔존하는 그래파이트 재료 사이에 큰 열 접촉 영역을 제공한다. 열적으로 말하면, 열 접촉 링 (252), 나사형 유지 링 (250), 및 알루미늄 슬리브 (240) 를 통해 알루미늄 슬리브 (240) 를 둘러싸는 상부 전극 재료에 대한 프로브 헤드 (206) 사이의 효율적인 열 전도 경로가 존재한다. 이와 같이 도 1 의 종래 기술의 장치와는 달리, 프로브 헤드 (206) 는 상부 전극 (204) 의 나머지와 열 평형을 더욱 쉽게 달성할 수도 있어서, 오랜 열 안정화 지속기간 동안 기다릴 필요없이 측정이 더욱 정확하게 및/또는 더욱 적시에 행해질 수 있게 할 수 있다.
상부 전극 (204) 의 상층 (232) 이 나사형 유지 링 (250) 의 나사를 수용하도록 구조적으로 나사를 형성할 수 있는 (알루미늄 또는 스테인리스 스틸과 같은) 재료로 형성되면, 슬리브 (240) 가 제거될 수도 있다는 것에 주목해야 한다. 이 경우, 나사는 상부 전극 (204) 의 재료에 직접적으로 생성될 수 있다. 또한, 일 실시형태에서 소켓 (208) 은 편의를 위해 제공된 것이며 제거될 수도 있다. 소켓 (208) 이 제거되면, 접촉 로드는 원하면 프로브 헤드 (206) 에 직접적으로 접착될 수도 있다.
본 발명이 몇 가지 바람직한 실시형태에 의해 기술되었지만, 본 발명의 범위 내에 포함되는 변형물, 치환물, 및 등가물이 존재한다. 예를 들어, 이온 플럭스 프로브가 프로브를 위치 결정하고 설치하기 위한 혁신적인 구조를 도시하기 위해 이용된 것이지만, 본 명세서의 혁신적인 기계적 구조 및 장치는 플라즈마 처리 동안에 하나 이상의 파라미터의 측정을 용이하게 하기 위해 (상부 전극을 포함하지만 이에 제한되지 않는) 임의의 챔버 표면 상에 임의의 플라즈마 대향 센서를 정확하게 위치 결정 및 장착하기 위해 이용될 수도 있다. 이러한 센서의 예는, 제한없이, SFERS (self-exited electron resonance spectroscopy), 랭뮤어 프로브 또는 그 변형을 포함한다. 또한, 본 발명의 방법과 장치들을 구현하는 많은 대안의 방법들이 존재한다는 것 또한 주목해야 한다. 다양한 예가 본 명세서에서 제공되었지만, 이러한 예는 예시적인 것이고 본 발명에 대해 한정하려는 것은 아니다.
또한, 명칭과 개요는 본 명세서에서 편의상 제공되는 것이고 본 명세서의 청구범위를 해석하는데 사용되어서는 안된다. 또한, 요약서는 상당히 축약된 형태로 기록되어 본 명세서에 편의상 제공된 것이고, 따라서 청구범위에 표현된 발명 전체를 해석하거나 한정하는데 이용되어서는 안된다. "세트 (set)" 라는 용어가 본 명세서에서 이용된다면, 이러한 용어는 0, 1, 또는 1 초과의 요소를 의미하는 통상적으로 이해되는 수학적 의미를 지니고 있는 것으로 의도된 것이다. 또한, 다음에 이어지는 청구범위는 본 발명의 진정한 사상 및 범위 내에 포함되는 이러한 모든 변형물, 치환물, 및 등가물을 포함하는 것으로 해석되도록 의도된다.

Claims (20)

  1. 플라즈마 처리 시스템의 처리 챔버 내의 프로세스 파라미터를 측정하는 장치로서,
    상부 전극의 개구에 배치되고 프로브 헤드를 포함하는 프로브 장치로서, 상기 프로브 헤드는,
    플라즈마 대향면을 갖는 원통형 플러그이고, 상기 상부 전극의 상기 개구 내에 위치 결정되는 헤드부, 및
    상기 헤드부보다 큰 직경을 갖는 중공 원통형 구조이고, 상기 상부 전극의 상면 위에 위치 결정되는 플랜지부를 포함하는, 상기 프로브 장치;
    상기 상부 전극의 상기 상면과 상기 프로브 헤드의 상기 플랜지부의 하부 대향면 사이에 배치된 O-링; 및
    상기 프로브 장치가 상기 상부 전극의 상기 개구 내에 삽입될 때 상기 프로브 장치가 상기 상부 전극에 접촉하는 것을 방지하기 위해 상기 프로브 헤드의 상기 헤드부의 수직 측벽과 상기 상부 전극의 상기 개구의 수직 측벽 사이에 위치 결정된 전기적 절연재로 이루어진 스페이서를 포함하고,
    상기 스페이서는,
    적어도 상기 프로브 헤드의 상기 플랜지부의 하측을 지지하기 위해 구성된 디스크부, 및
    상기 프로브 헤드의 상기 헤드부를 둘러싸도록 구성된 중공 원통부로서, 상기 중공 원통부는 상기 디스크부보다 작은 직경을 갖는, 상기 중공 원통부를 포함하며,
    상기 스페이서는 상기 처리 챔버에 대한 상기 개구와 상기 O-링 사이에 직각 경로를 형성하여, 상기 처리 챔버에 대한 상기 개구와 상기 O-링 사이의 직접적인 가시선 (line-of-sight) 경로를 방지하는, 프로세스 파라미터의 측정 장치.
  2. 제 1 항에 있어서,
    상기 프로브 장치는 이온 플럭스 프로브인, 프로세스 파라미터의 측정 장치.
  3. 제 1 항에 있어서,
    상기 프로브 헤드는 실리콘으로 이루어진, 프로세스 파라미터의 측정 장치.
  4. 제 1 항에 있어서,
    상기 프로브 헤드의 상기 플라즈마 대향면은 상기 상부 전극의 플라즈마 대향면과 동일면으로 되는, 프로세스 파라미터의 측정 장치.
  5. 제 1 항에 있어서,
    상기 스페이서는 질화 실리콘 (SiN) 으로 이루어진, 프로세스 파라미터의 측정 장치.
  6. 제 1 항에 있어서,
    상기 스페이서는 상기 헤드부의 상기 수직 측벽과 상기 상부 전극의 상기 개구의 상기 수직 측벽 사이에 애스팩트비의 갭을 형성하고,
    상기 애스팩트비의 갭은 수평 폭보다 더 큰 수직 길이를 갖는, 프로세스 파라미터의 측정 장치.
  7. 제 1 항에 있어서,
    상기 프로브 헤드는 전기적 도전재로 이루어진 소켓과 전기적 접촉하는, 프로세스 파라미터의 측정 장치.
  8. 제 7 항에 있어서,
    상기 프로브 헤드는 도전 솔더를 통해 상기 소켓과 연결되는, 프로세스 파라미터의 측정 장치.
  9. 제 8 항에 있어서,
    접촉 로드가 상기 소켓 내에 삽입되고,
    상기 접촉 로드는 상기 프로브 헤드로부터 획득된 측정 데이터를 적어도 수신 및 릴레이하도록 구성된, 프로세스 파라미터의 측정 장치.
  10. 제 1 항에 있어서,
    접촉 로드가 상기 프로브 헤드에 접착되고,
    상기 접촉 로드는 상기 프로브 헤드로부터 획득된 측정 데이터를 적어도 수신 및 릴레이하도록 구성된, 프로세스 파라미터의 측정 장치.
  11. 제 1 항에 있어서,
    상기 상부 전극은,
    실리콘으로 이루어진 플라즈마 대향층, 및
    상기 플라즈마 대향층에 접착되고, 전기적 및 열적 도전재로 이루어진 일 세트의 상층을 포함하도록 구성된, 프로세스 파라미터의 측정 장치.
  12. 제 11 항에 있어서,
    상기 일 세트의 상층은 알루미늄으로 이루어진, 프로세스 파라미터의 측정 장치.
  13. 제 11 항에 있어서,
    상기 일 세트의 상층은 그래파이트로 이루어진, 프로세스 파라미터의 측정 장치.
  14. 제 11 항에 있어서,
    상기 상부 전극은 슬리브를 포함하고,
    상기 슬리브는 내측 나사부 및 이어 (ear) 부를 포함하는, 프로세스 파라미터의 측정 장치.
  15. 제 14 항에 있어서,
    상기 슬리브는 알루미늄으로 이루어진, 프로세스 파라미터의 측정 장치.
  16. 제 14 항에 있어서,
    상기 내측 나사부는 나사형 유지 링과 짝을 이루어, 상기 O-링을 가압하여 상기 상부 전극의 상기 상면에 대하여 상기 프로브 헤드의 상기 플랜지부를 하측으로 밀어넣는, 프로세스 파라미터의 측정 장치.
  17. 제 16 항에 있어서,
    상기 나사형 유지 링은 소켓의 직경보다 큰 직경을 갖는 내측 스루 홀을 포함하고,
    상기 소켓은 상기 프로브 헤드와 전기적 접촉하는, 프로세스 파라미터의 측정 장치.
  18. 제 17 항에 있어서,
    열 접촉 링이 상기 플랜지부의 상측 대향면과 상기 나사형 유지 링의 하면 사이에 배치되고,
    상기 열 접촉 링은 상기 나사형 유지 링으로부터 상기 프로브 헤드를 전기적으로 절연하는, 프로세스 파라미터의 측정 장치.
  19. 제 18 항에 있어서,
    상기 열 접촉 링이 실리콘 폴리머로 이루어진, 프로세스 파라미터의 측정 장치.
  20. 제 11 항에 있어서,
    상기 상부 전극의 상기 일 세트의 상층은 내측 나사부를 포함하고,
    상기 내측 나사부는 나사형 유지 링과 짝을 이루어, 상기 O-링을 가압하여 상기 상부 전극의 상기 상면에 대하여 상기 프로브 헤드의 상기 플랜지부를 하측으로 밀어넣는, 프로세스 파라미터의 측정 장치.
KR1020117000512A 2008-07-07 2009-07-07 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치 KR101588482B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7874508P 2008-07-07 2008-07-07
US61/078,745 2008-07-07

Publications (2)

Publication Number Publication Date
KR20110039250A KR20110039250A (ko) 2011-04-15
KR101588482B1 true KR101588482B1 (ko) 2016-01-25

Family

ID=41504582

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117000512A KR101588482B1 (ko) 2008-07-07 2009-07-07 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치

Country Status (6)

Country Link
US (1) US8547085B2 (ko)
JP (1) JP5661622B2 (ko)
KR (1) KR101588482B1 (ko)
CN (1) CN102084475B (ko)
TW (1) TWI494030B (ko)
WO (1) WO2010005932A2 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4409589B2 (ja) * 2007-07-31 2010-02-03 株式会社 日立ディスプレイズ 液晶表示装置
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
US8164349B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
WO2010005933A2 (en) 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
CN102714167B (zh) 2008-07-07 2015-04-22 朗姆研究公司 用于检测等离子处理室内的原位电弧放电事件的被动电容耦合静电(cce)探针装置
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
US8889021B2 (en) * 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
US9017513B2 (en) * 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
CN107680897B (zh) * 2017-10-10 2024-02-09 中国科学技术大学 一种精密移动且侧面引线的介入式诊断装置

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2002A (en) * 1841-03-12 Tor and planter for plowing
US2005A (en) * 1841-03-16 Improvement in the manner of constructing molds for casting butt-hinges
US2007A (en) * 1841-03-16 Improvement in the mode of harvesting grain
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US2004A (en) * 1841-03-12 Improvement in the manner of constructing and propelling steam-vessels
US2008A (en) * 1841-03-18 Gas-lamp eok conducting gas pkom ah elevated buhner to one below it
US2006A (en) * 1841-03-16 Clamp for crimping leather
US4595487A (en) * 1985-03-18 1986-06-17 Kennecott Corporation Sensing probe holder system
US5473162A (en) * 1987-10-26 1995-12-05 Baylor University Infrared emission detection of a gas
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4982067A (en) * 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5175472A (en) * 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JPH0737817A (ja) 1993-06-28 1995-02-07 Sony Corp プラズマ計測用プローブ及びこれを用いたプラズマ計測方法
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
FR2738984B1 (fr) * 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
US6345589B1 (en) 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
US5985092A (en) * 1996-12-17 1999-11-16 United Microelectronics Corp. Endpoint detection system
US5989349A (en) * 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US6024831A (en) * 1997-08-20 2000-02-15 Vanguard International Semiconductor Corporation Method and apparatus for monitoring plasma chamber condition by observing plasma stability
JPH11354509A (ja) * 1998-04-07 1999-12-24 Seiko Epson Corp プラズマエッチングの終点検出方法及びプラズマエッチング装置
JP2000003909A (ja) * 1998-06-15 2000-01-07 Kishimoto Sangyo Co Ltd 半導体デバイス用絶縁膜および半導体デバイス
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP2000031072A (ja) * 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置
US6344084B1 (en) * 1998-09-11 2002-02-05 Japan Science And Technology Corporation Combinatorial molecular layer epitaxy device
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
JP2001144071A (ja) 1999-11-10 2001-05-25 Toshiba Corp プラズマ処理方法及びその装置
NL1013938C2 (nl) * 1999-12-23 2001-06-26 Asm Int Inrichting voor het behandelen van een wafer.
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6347749B1 (en) * 2000-02-09 2002-02-19 Moore Epitaxial, Inc. Semiconductor processing reactor controllable gas jet assembly
TW483037B (en) * 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP3968211B2 (ja) * 2000-08-31 2007-08-29 株式会社日立製作所 微弱磁場計測デュワー
JP2002118098A (ja) * 2000-10-10 2002-04-19 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20040028810A1 (en) * 2000-10-16 2004-02-12 Primaxx, Inc. Chemical vapor deposition reactor and method for utilizing vapor vortex
US6833710B2 (en) * 2000-10-27 2004-12-21 Axcelis Technologies, Inc. Probe assembly for detecting an ion in a plasma generated in an ion source
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
JP2002241939A (ja) * 2001-02-16 2002-08-28 Canon Inc 真空シール構造および真空処理装置
JP4128339B2 (ja) * 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7163587B2 (en) * 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6730174B2 (en) * 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US7093560B2 (en) * 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20030210510A1 (en) 2002-05-07 2003-11-13 Hann Thomas C. Dynamic dechucking
US20030213559A1 (en) 2002-05-20 2003-11-20 Applied Science And Technology, Inc. Stabilization of electronegative plasmas with feedback control of RF generator systems
WO2003102724A2 (en) * 2002-05-29 2003-12-11 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US6894474B2 (en) * 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
US6953936B2 (en) * 2002-06-27 2005-10-11 Honeywell International, Inc. Ionization type smoke sensing chamber
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
KR20040024720A (ko) 2002-09-16 2004-03-22 삼성전자주식회사 건식 식각 장치의 플라즈마 감지 시스템
US20040126492A1 (en) * 2002-12-30 2004-07-01 Weaver Scott Andrew Method and apparatus for using ion plasma deposition to produce coating
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US6939726B2 (en) 2003-08-04 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Via array monitor and method of monitoring induced electrical charging
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
JP4364667B2 (ja) * 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
US20050229849A1 (en) * 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050212450A1 (en) * 2004-03-16 2005-09-29 Scientific Systems Research Limited Method and system for detecting electrical arcing in a plasma process powered by an AC source
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7691243B2 (en) * 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
US7334477B1 (en) * 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7578301B2 (en) * 2005-03-28 2009-08-25 Lam Research Corporation Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US9520276B2 (en) * 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US7319316B2 (en) * 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
KR20070035346A (ko) 2005-09-27 2007-03-30 삼성전자주식회사 플라즈마 감지 시스템이 구비된 플라즈마 처리장치
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7479207B2 (en) * 2006-03-15 2009-01-20 Lam Research Corporation Adjustable height PIF probe
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
JP4754419B2 (ja) 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
KR20080048310A (ko) 2006-11-28 2008-06-02 삼성전자주식회사 반도체 소자 제조용 플라즈마 장치
US20090007642A1 (en) * 2007-07-05 2009-01-08 Baxter International Inc. Dialysis fluid measurement method and apparatus using conductive contacts
US8070880B2 (en) * 2007-10-22 2011-12-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US8894804B2 (en) * 2007-12-13 2014-11-25 Lam Research Corporation Plasma unconfinement sensor and methods thereof
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
CN102714167B (zh) * 2008-07-07 2015-04-22 朗姆研究公司 用于检测等离子处理室内的原位电弧放电事件的被动电容耦合静电(cce)探针装置
WO2010005933A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
US8164349B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
TW201130539A (en) * 2010-03-11 2011-09-16 Joong Chenn Industry Co Ltd Exercise device with resistance inspection function
US8333166B2 (en) * 2011-05-04 2012-12-18 Nordson Corporation Plasma treatment systems and methods for uniformly distributing radiofrequency power between multiple electrodes

Also Published As

Publication number Publication date
JP5661622B2 (ja) 2015-01-28
US8547085B2 (en) 2013-10-01
TW201010522A (en) 2010-03-01
CN102084475B (zh) 2013-01-30
KR20110039250A (ko) 2011-04-15
JP2011527507A (ja) 2011-10-27
US20100007337A1 (en) 2010-01-14
WO2010005932A3 (en) 2010-05-06
CN102084475A (zh) 2011-06-01
WO2010005932A2 (en) 2010-01-14
TWI494030B (zh) 2015-07-21

Similar Documents

Publication Publication Date Title
KR101588482B1 (ko) 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치
KR101234938B1 (ko) 플라즈마의 전기적 특성 세트를 측정하기 위한 장치
KR100721227B1 (ko) 웨이퍼 전압 측정 또는 산정 장치 및 기판의 dc 바이어스 전압 모니터링 시스템 및 방법
US9299539B2 (en) Method and apparatus for measuring wafer bias potential
TWI437928B (zh) 可調整高度的電漿離子通量探針
US8038833B2 (en) Plasma processing apparatus
KR100819296B1 (ko) 기판 처리 장치, 퇴적물 모니터링 장치 및 퇴적물 모니터링방법
KR101756853B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP5411098B2 (ja) 分割可能な電極及びこの電極を用いたプラズマ処理装置ならびに電極交換方法
KR100727263B1 (ko) 플라즈마 처리 장치 및 그 구동 방법
KR20060088731A (ko) 챔버 내에 장착된 반도체기판의 온도측정 장치
JPH10303177A (ja) プラズマエッチング装置
JP2007335339A (ja) 背面電子衝撃加熱装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190109

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20200109

Year of fee payment: 5