CN102084475A - 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置 - Google Patents

用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置 Download PDF

Info

Publication number
CN102084475A
CN102084475A CN2009801270024A CN200980127002A CN102084475A CN 102084475 A CN102084475 A CN 102084475A CN 2009801270024 A CN2009801270024 A CN 2009801270024A CN 200980127002 A CN200980127002 A CN 200980127002A CN 102084475 A CN102084475 A CN 102084475A
Authority
CN
China
Prior art keywords
probe
top electrode
plasma
ring
flange portion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801270024A
Other languages
English (en)
Other versions
CN102084475B (zh
Inventor
杰-保罗·布斯
道格拉斯·L·凯尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102084475A publication Critical patent/CN102084475A/zh
Application granted granted Critical
Publication of CN102084475B publication Critical patent/CN102084475B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R19/00Arrangements for measuring currents or voltages or for indicating presence or sign thereof
    • G01R19/0046Arrangements for measuring currents or voltages or for indicating presence or sign thereof characterised by a specific application or detail not covered by any other subgroup of G01R19/00
    • G01R19/0061Measuring currents of particle-beams, currents from electron multipliers, photocurrents, ion currents; Measuring in plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一种测量处理室内的工艺参数的装置。该装置包括设于上电极的开口中的探针装置。探针装置包括探针头,该探针头包括头部和法兰部。该装置还包括设在该上电极和该法兰部之间的O形环。该装置进一步包括由定位在该头部和该上电极的开口之间的电气隔离材料制成的衬垫,以阻止该探针装置碰到该上电极。该衬垫包括被配置为至少支撑该法兰部的下侧的圆盘部。该衬垫还包括被配置为环绕该头部的中空柱形部。该衬垫在该O形环和到该处理室的开口之间形成直角路径,由此阻止该O形环和到该处理室的该开口的直视线路径。

Description

用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置
背景技术
等离子体处理系统已长时间用于将衬底处理为成品电子产品以制造集成电路(IC)。可以使用各种等离子体产生技术产生等离子体,包括例如电感耦合等离子体、电容耦合等离子体、微波、电子回旋共振(ECR)等。
在衬底处理过程中,准确而及时地监控等离子体处理室内部的各种工艺参数是非常需要的。面向等离子体的探针或传感器技术(其涉及将由导电材料制成的探针或传感器的表面暴露于等离子体)已经长时间被用于这种监控任务。已被用于测量该工艺参数的一类面向等离子体的监控探针是离子通量探针,比如名称为“Apparatus For Measuring A Set Of Electrical Characteristics In A Plasma”的美国专利7,319,316中所描述的。在上述美国专利7,319,316中,使用基本上共平面的探针测量该等离子体处理室内的离子通量。然后使用测量的离子通量以确定例如室调整工艺的终点,用于测量等离子体的性质(例如,离子饱和电流、电子温度、空载电势等),用于室的匹配(例如,寻找名义上完全相同的室之间的差异),用于检测室中的缺陷和问题等。
在本领域中已经实现了离子通量探针的一些量产型号,而且已经发现,改进的机会是可能的。为了便于讨论,图1显示了典型的离子通量探针装置。在图1中,离子通量探针102被设在等离子体处理室的上电极中的孔104中。该上电极通常是由铝或石墨形成的,具有由合适材料(比如硅)形成的面向等离子体的表面106。
离子通量探针102包含用于与支撑结构(显示了它的一部分112)耦合的茎110。茎110通常是由导电导热材料(比如铝)形成的。隔离环114如图所示围绕茎110并被设计来为茎110在孔104内提供中心定位支撑,以及将茎110从上电极的其它部分电气隔离。
离子通量探针102还包括面向等离子体的探针头120,该探针头120是由被设计为在化学和电气上基本类似于该上电极的面向等离子体的表面106的材料形成的以便于对来自等离子体(在图1中位于该上电极下方)的参数进行精确测量。在图1的情况下,探针头120也是由硅形成的。提供O形环130以阻止污染物通过离子通量探针102和孔104之间的间隙136落入该室。间隙136是由机械容差带来的,也是为了适应工艺循环期间的热膨胀。O形环130通常是由有弹性的弹性体形成的,也用作密封该室内部的工艺气体,避免工艺气体向上通过上述间隙逸出。
图中显示环132在探针头120周围。环132可以是由石英(如图1的示例的情况)或其它合适的介电材料制成的。石英环132被设计为将探针头120与该上电极的其它部分电气隔离。石英环132的辅助功能是保护O形环130免于被该室内产生的等离子体的更高能离子和基团过度攻击。
然而,已经注意到,离子通量探针的设计和离子通量探针在该室中的安装有改进的机会。例如,已经发现石英环132的存在在等离子体处理过程中在该室中产生化学负载状态,因为石英环132是与探针头120的硅材料或该上电极的面向等离子体的表面106的硅材料不同的材料。在某些刻蚀工艺过程中,比如在介电蚀刻过程中,石英环132的蚀刻会改变该室内部的化学制品或等离子体的组成,导致该衬底上的不良的蚀刻结果。而且,随着石英环132的耗费,在该上电极的下表面和探头120的面向等离子体的表面之间可能形成缺口,并可能形成“聚合物陷阱”,潜在增加了在后续处理循环过程中衬底上的颗粒污染的可能性。而且,当石英环132被侵蚀时,离子通量探针的测量可能失真,因为呈现到该等离子体的该探针头的几何形状已经变化。
如图1中所示,在平铺(tile)等离子体(其形成于图1的上电极下方)和O形环130之间存在直视线。此直视线允许等离子体组成成分(比如高能离子和基团)到达该O形环,从而促进O形环更快速地退化。O形环130的加速退化迫使进行更高频率的维护,以替换O形环130,这导致更多的系统停机,减少了等离子体系统的吞吐量,并导致等离子体处理工具的更高的持有成本。
图1的装置的另一个问题涉及在离子通量探针102和该上电极的其它部分之间缺乏机械参照(mechanical referencing)。因为离子通量探针102耦合于与该上电极机械独立的支撑结构112,已经发现,为了确保探针头120与该上电极的下表面106齐平,离子通量探针102在安装过程中的精确定位是一个挑战。
图1的离子通量探针装置的另一个可被改进的方面涉及热平衡。对于精确测量,需要该离子通量探针(尤其是离子探针头120)尽可能快地与该上电极的其它部分处于热平衡。然而,因为图1的离子通量探针102机械耦合于支撑结构112并且只通过隔离环114和石英环132(二者都是相对差的导热体)偶然接触该上电极的其它部分,在探针头120和上电极之间实现快速局部热平衡的目标总是不能令人满意地达到。
发明内容
在一个实施方式中,本发明涉及一种测量等离子体处理系统的处理室内的工艺参数的装置。该装置包括设于上电极的开口中的探针装置,其中该探针装置包括探针头。该探针头包括头部,该头部是具有面向等离子体的表面的柱形塞并且被定位在该上电极的该开口内。该探针头还包括法兰部,该法兰部是直径比该头部的直径更大的中空柱体结构并被定位在该上电极的上表面上方。该装置还包括设在该上电极的该上表面和该探针头的该法兰部的面向底部的表面之间的O形环。该装置进一步包括由定位在该探针头的该头部的竖直侧壁和该上电极的该开口的竖直侧壁之间的电气隔离材料制成的衬垫,以阻止当该探针装置被插入该上电极的该开口时该探针装置碰到该上电极。该衬垫包括被配置为至少支撑该探针头的该法兰部的下侧的圆盘部。该衬垫还包括被配置为环绕该探针头的该头部的中空柱形部,其中该中空柱形部具有比该圆盘部更小的直径。该衬垫在该O形环和到该处理室的开口之间形成直角路径,由此阻止该O形环和到该处理室的该开口的直视线路径。
上述发明内容只涉及此处披露的本发明的许多实施方式中的一个,并不是为了限制本发明的范围,本发明的范围如权利要求所述。在下面本发明的具体实施方式部分,结合附图,对本发明的这些及其他特征进行更加详细的描述。
附图说明
本发明是以附图中各图中的实施例的方式进行描绘的,而不是通过限制的方式,其中类似的参考标号指示类似的元件,其中:
图1显示一种现有技术离子通量探针装置。
图2显示,按照本发明的一个实施方式,一种改进的离子通量探针装置。
具体实施方式
现在参考附图中描绘的一些优选实施方式,对本发明进行详细描述。在下面的描述中,阐明了许多具体细节以提供对本发明的彻底理解。然而,显然,对于本领域的技术人员来说,本发明没有这些具体细节中的一些或全部仍然可以实施。在其它情况下,没有对已知的工艺步骤和/或结构进行详细描述,以免不必要地模糊本发明。
本发明的实施方式涉及一种改进的离子通量设计,其中消除了隔离/垫衬环的介电材料(比如图1的石英环132的石英材料)对等离子体的直接暴露。而且,结合了创新的设计变化(比如使用高纵横比真空间隙和成锐角的等离子体-到-O形环路径)以延长该O形环的寿命。而且,在一个或更多实施方式中,该改进的设计将该离子通量机械参照于该上电极,从而使得它有可能准确定位该离子通量探针从而该面向等离子体的探针头表面可以与该上电极的面向等离子体的表面齐平。创新的设计变化还改进了该离子通量探针和周围的上电极材料之间的热传导,使得更快地实现该离子通量探针和该上电极之间的局部热平衡成为可能。
参考下面的附图和讨论,可以更好地理解本发明的实施方式的特征和优点。图2显示,按照本发明的一个或更多实施方式,设在上电极204中的改进的离子通量探针202。离子通量探针202包括探针头206,探针头206与插座208电接触。探针头206是由与上电极204的面向等离子体的表面相同的材料形成的,在一个实施方式中该材料是硅。
替代地,探针头206可以由允许能使离子通量测量值很准确同时能最小化对等离子体工艺的负面影响的不同材料形成。插座208由导电材料制成并通过导电焊料或粘结剂电气耦合于探针头206,比如例如如果插座208是由铝形成而探针头206是由硅形成的话该导电焊料可以是包含锡/银的焊料。可将接触棒(未示)插入插座208中以接收并中继通过探针头206获得的测量值。
探针头206具有头部206A和法兰部206B。头部206A是被配置为具有面向等离子体的表面206C的柱形塞,该表面206C与该上电极204的面向等离子体的表面210齐平。在一个实施方式中,法兰部206B是一个中空柱体结构,其直径比头部206A更大。提供衬垫212,在一个实施方式中,衬垫212是由与盘形部212B成整体的中空柱体部212A形成的。在一个实施方式中,衬垫212是由SiN形成的,以用于介电蚀刻应用,因为SiN材料与介电蚀刻的兼容性。然而,可以为衬垫212使用电气绝缘并且与设想的等离子体工艺兼容的任何刚性材料。
中空柱体部212A被配置为环绕探针头206的头部206A,而圆盘部212B被配置为支撑探针头206的法兰部206B的下侧。在上电极204中构造两个肩部216A和216B以容纳中空柱体部分212A和圆盘部212B。当衬垫212被设在开口220内且探针头206也被设在开口220内时,中空柱体部212A被夹在头部206A的竖直侧壁和开口220的侧壁之间,如图所示。而且,圆盘部212B被夹在法兰部206B的下侧和由上电极204形成的上表面226之间。通过以讨论的方式夹住衬垫212,衬垫212用于将探针头206准确定位在开口220内从而在探针头206的竖直侧壁和上电极204的周围材料中的开口220的竖直侧壁之间形成小间隙222。探头206在开口220内的准确位置对于防止探针头206和上电极204的周围材料之间的无意的电气短路是重要的。
O形环228被夹在法兰部206B的上表面226和下表面之间,如图2所示。而且,O形环228被设在法兰部206外面。O形环228防止污染物到达该室内部(图2的示例的上电极204下方),并帮助阻止非受控的室气体进入或逸出,从而改善工艺稳定性。
空隙222是高纵横比间隙(即,比宽长得多),该间隙被设计为不能够支持该间隙中的等离子体。该纵横比间隙还呈现出间隙中的大的表面积从而穿透间隙222的任何基团将在到达O形环228之前很可能被沿着该间隙壁重新结合和/或中和。由衬垫212产生的等离子体-O形环的直角拐角还帮助减少到达环228的高能离子的数量,因为这些高能离子可能撞在该直角转角的角落上并在到达环228之前变得中和。
用这种方式,该改进的设计避免了该等离子体和该O形环之间的直接的视线,从而有助于该O形环的更长的寿命。而且,不需要石英环被呈现在该等离子体前,从而回避了与现有技术装置有关的缺点(比如该等离子体环境中石英材料的不想要的存在,由于该石英材料的计划外蚀刻而存在的化学负载以及该石英材料本身可能与该探针头和周围面向等离子体的上电极材料的蚀刻以不同速率进行的蚀刻)。相反,间隙222用作隔离间隙“环”以将探针头206的头部206A与开口220的周围上电极材料电气隔离。
在图2的示例中,上电极204是由粘结于该面向等离子体的层的一个或更多上层形成的。如同提到过的,在图2的示例中,该面向等离子体的层230是硅,以呈现用于蚀刻工艺(比如介电蚀刻)的兼容的材料。该上层可以是由导电导热材料(比如铝或石墨)形成的。在图2的示例中,上层232是石墨。为了对探针202提供结构支撑,在上电极204中形成套筒240。在图2的示例中,套筒240是由铝形成的,尽管也可以使用其它在结构上合适的材料(比如不锈钢)。在一个实施方式中,套筒240可以粘结于该上电极的石墨材料。
铝套筒240包括内螺纹部240a和耳部240b。内螺纹部240a具有内螺纹以适应螺纹固定环250,该螺纹固定环250可以是由铝或不锈钢材料制成。热接触环252设于探针头206的法兰部206b的上表面和螺纹固定环250的下表面之间。热接触环252可以由合适的材料形成,比如硅聚合物或矾土,例如,以促进探针头206和螺纹固定环250之间的热传导同时在探针头206和螺纹固定环250之间提供电隔离功能。
当螺纹固定环250与铝套筒240的螺纹部240a的内螺纹啮合时并被旋下(通过工具孔254)时,螺纹固定环250充当推力环并迫使法兰部206b向下抵靠上表面226以刚性锁住法兰部206b并将探针头206固定在开口220内。用这种方式,探头206相对于上电极204在竖直方向上可靠地参照,从而允许探针头206相对于上电极204的更准确的定位,以实现该探针头206的面向等离子体表面和上电极204的面向等离子体表面210之间的期望的齐平表面。螺纹固定环250的压缩力还压缩O形环228,从而改善密封。螺纹固定环250优选地具有内部透孔,该内部透孔的直径比插座208的直径更大。用这种方式,在插座208和螺纹固定环250之间没有电传导路径。
当螺纹固定环250被向下旋时,耳部240b在结构上抵抗可施加在套筒240上的旋转扭矩。耳部240b(可能有一个或更多个)也呈现出在套筒240和该上电极的其它石墨材料之间的大的热接触面积。在热学上说,通过热接触换252、螺纹固定环250和铝套筒240,在探针头到铝套筒240周围的上电极材料之间有高效的热传导路径。用这种方式,且不同于图1的现有技术装置,探针头206可以更容易地实现与上电极204的其它部分之间的热平衡,从而能够进行更准确和/或更及时的材料而不必等待冗长的热稳定时间。
注意,如果上电极204的上层232是由能在结构上形成螺纹以适应螺纹固定环250的螺纹的材料形成的话,可以省去套筒240。在这种情况下,螺纹可以直接在上电极204的材料中形成。而且,提供插座208是为了方便,在一个实施方式中,可以将其省略。如果省去插座208的话,需要的话接触棒可以直接粘结于探针头206。
尽管已经按照若干优选实施方式描述了本发明,然而存在落入本发明的范围的变更、置换和等同。例如,尽管使用离子通量探针来描绘定位和安装该探针的创新结构,然而,本文的创新的机械结构和装置可用于准确在任何室表面上定位和安装任何面向等离子体的传感器(其包括但不限于该上电极)以促进等离子体处理过程中的一个或更多参数的测量。这样的传感器的示例包括但不限于自退出电子共振频谱仪(SEERS)、朗缪尔探针或其变形。还要注意,有许多实现本发明的方法和装置的替代方式。尽管本文提供了各种示例,然而这些示例意在是对本发明的说明而非限制。
而且,本文提供的发明名称和发明内容也是为了方便,不应当用于解释此处权利要求的范围。而且,摘要是用高度浓缩的形式写成的,在此提供是为了方便,而不应当用来解释或限制整个发明,发明用权利要求来表示。如果本文使用了术语“集合”,此术语是为了具有其通常理解的数学含义,涵盖0、1或多于1个成员。而且,所附权利要求应当被解释为包括所有这些变更、置换和等同,均落入本发明的真实精神和范围。

Claims (20)

1.一种测量等离子体处理系统的处理室内的工艺参数的装置,包含:
设于上电极的开口中的探针装置,其中所述探针装置包括探针头且所述探针头包括
头部,其中所述头部是具有面向等离子体的表面的柱形塞并且被定位在所述上电极的所述开口内,以及
法兰部,其中所述法兰部是直径比所述头部的直径更大的中空柱体结构并被定位在所述上电极的上表面上方;
设在所述上电极的所述上表面和所述探针头的所述法兰部的面向底部的表面之间的O形环;以及
由定位在所述探针头的所述头部的竖直侧壁和所述上电极的所述开口的竖直侧壁之间的电气隔离材料制成的衬垫,以阻止当所述探针装置被插入所述上电极的所述开口时所述探针装置碰到所述上电极,其中所述衬垫包括
被配置为至少支撑所述探针头的所述法兰部的下侧的圆盘部,以及
被配置为环绕所述探针头的所述头部的中空柱形部,其中所述中空柱形部具有比所述圆盘部更小的直径,其中所述衬垫在所述O形环和到所述处理室的开口之间形成直角路径,由此阻止所述O形环和到所述处理室的所述开口的直视线路径。
2.根据权利要求1所述的装置,其中所述探针布置是离子通量探针。
3.根据权利要求1所述的装置,其中所述探针头是由硅制成的。
4.根据权利要求1所述的装置,其中所述探针头的所述面向等离子体的表面与所述上电极的面向等离子体的表面齐平。
5.根据权利要求1所述的装置,其中所述衬垫是由氮化硅(SiN)制成的。
6.根据权利要求1所述的装置,其中所述衬垫在所述头部的所述竖直侧壁和所述上电极的所述开口的所述竖直侧壁之间形成高纵横比间隙,其中所述高纵横比间隙具有大于水平宽度的竖直长度。
7.根据权利要求1所述的装置,其中所述探针头与由导电材料制成的插座电接触。
8.根据权利要求7所述的装置,其中所述探针头通过导电焊料耦合于所述插座。
9.根据权利要求8所述的装置,其中接触棒被插入所述插座,其中所述接触棒被配置为至少接收并中继从所述探针头获得的测量数据。
10.根据权利要求1所述的装置,其中接触棒粘结于所述探针头,其中所述接触棒被配置为至少接收并中继从所述探针头获得的测量数据。
11.根据权利要求1所述的装置,其中所述上电极被配置为包括
面向等离子体的层,其中所述面向等离子体的层是由硅制成的,以及
一组上层,其中所述组上层粘结于所述面向等离子体的层,所述组上层是由导电导热材料制成的。
12.根据权利要求11所述的装置,其中所述组上层是由铝制成的。
13.根据权利要求11所述的装置,其中所述组上层是由石墨制成的。
14.根据权利要求11所述的装置,其中所述上电极包括套筒,其中所述套筒包括内螺纹部和耳部。
15.根据权利要求14所述的装置,其中所述套筒是由铝制成的。
16.根据权利要求14所述的装置,其中所述内螺纹部与螺纹固定环啮合,由此压缩所述O形环并迫使所述探针头的所述法兰部向下抵靠所述上电极的所述上表面。
17.根据权利要求16所述的装置,其中所述螺纹固定环包括直径比插座的直径更大的内部透孔,其中所述插座与所述探针头电接触。
18.根据权利要求17所述的装置,其中热接触环被设在所述法兰部的朝上的表面和所述螺纹固定环的下表面之间,其中所述热接触环将所述探针头从所述螺纹固定环电气隔离。
19.根据权利要求17所述的装置,其中所述热接触环是由硅聚合物制成的。
20.根据权利要求11所述的装置,其中所述上电极的所述组上层包括内螺纹部,其中所述内螺纹部与螺纹固定环啮合,由此压缩所述O形环并迫使所述探针头的所述法兰部向下抵靠所述上电极的所述上表面。
CN2009801270024A 2008-07-07 2009-07-07 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置 Active CN102084475B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US7874508P 2008-07-07 2008-07-07
US61/078,745 2008-07-07
PCT/US2009/049760 WO2010005932A2 (en) 2008-07-07 2009-07-07 Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber

Publications (2)

Publication Number Publication Date
CN102084475A true CN102084475A (zh) 2011-06-01
CN102084475B CN102084475B (zh) 2013-01-30

Family

ID=41504582

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801270024A Active CN102084475B (zh) 2008-07-07 2009-07-07 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置

Country Status (6)

Country Link
US (1) US8547085B2 (zh)
JP (1) JP5661622B2 (zh)
KR (1) KR101588482B1 (zh)
CN (1) CN102084475B (zh)
TW (1) TWI494030B (zh)
WO (1) WO2010005932A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107680897A (zh) * 2017-10-10 2018-02-09 中国科学技术大学 一种精密移动且侧面引线的介入式诊断装置

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4409589B2 (ja) * 2007-07-31 2010-02-03 株式会社 日立ディスプレイズ 液晶表示装置
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
CN102084473B (zh) 2008-07-07 2014-10-22 朗姆研究公司 用于检测等离子处理室中激发步骤的电容耦合静电(cce)探针装置及其方法
WO2010005929A2 (en) 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
TWI475592B (zh) 2008-07-07 2015-03-01 Lam Res Corp 用來偵測電漿處理腔室中之電漿不穩定性的被動電容耦合靜電探針裝置
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
US8889021B2 (en) * 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
US9017513B2 (en) * 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US2007A (en) * 1841-03-16 Improvement in the mode of harvesting grain
US2005A (en) * 1841-03-16 Improvement in the manner of constructing molds for casting butt-hinges
US2006A (en) * 1841-03-16 Clamp for crimping leather
US2002A (en) * 1841-03-12 Tor and planter for plowing
US2008A (en) * 1841-03-18 Gas-lamp eok conducting gas pkom ah elevated buhner to one below it
US2004A (en) * 1841-03-12 Improvement in the manner of constructing and propelling steam-vessels
US4595487A (en) * 1985-03-18 1986-06-17 Kennecott Corporation Sensing probe holder system
US5473162A (en) * 1987-10-26 1995-12-05 Baylor University Infrared emission detection of a gas
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4982067A (en) * 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5175472A (en) * 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JPH0737817A (ja) 1993-06-28 1995-02-07 Sony Corp プラズマ計測用プローブ及びこれを用いたプラズマ計測方法
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
FR2738984B1 (fr) * 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
US6345589B1 (en) 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
US5985092A (en) * 1996-12-17 1999-11-16 United Microelectronics Corp. Endpoint detection system
US5989349A (en) * 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US6024831A (en) * 1997-08-20 2000-02-15 Vanguard International Semiconductor Corporation Method and apparatus for monitoring plasma chamber condition by observing plasma stability
JPH11354509A (ja) * 1998-04-07 1999-12-24 Seiko Epson Corp プラズマエッチングの終点検出方法及びプラズマエッチング装置
JP2000003909A (ja) * 1998-06-15 2000-01-07 Kishimoto Sangyo Co Ltd 半導体デバイス用絶縁膜および半導体デバイス
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP2000031072A (ja) * 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置
US6344084B1 (en) * 1998-09-11 2002-02-05 Japan Science And Technology Corporation Combinatorial molecular layer epitaxy device
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
JP2001144071A (ja) 1999-11-10 2001-05-25 Toshiba Corp プラズマ処理方法及びその装置
NL1013938C2 (nl) * 1999-12-23 2001-06-26 Asm Int Inrichting voor het behandelen van een wafer.
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6347749B1 (en) * 2000-02-09 2002-02-19 Moore Epitaxial, Inc. Semiconductor processing reactor controllable gas jet assembly
TW483037B (en) * 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP3968211B2 (ja) * 2000-08-31 2007-08-29 株式会社日立製作所 微弱磁場計測デュワー
JP2002118098A (ja) * 2000-10-10 2002-04-19 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20040028810A1 (en) * 2000-10-16 2004-02-12 Primaxx, Inc. Chemical vapor deposition reactor and method for utilizing vapor vortex
US6833710B2 (en) * 2000-10-27 2004-12-21 Axcelis Technologies, Inc. Probe assembly for detecting an ion in a plasma generated in an ion source
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
JP2002241939A (ja) * 2001-02-16 2002-08-28 Canon Inc 真空シール構造および真空処理装置
JP4128339B2 (ja) * 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7163587B2 (en) * 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6730174B2 (en) * 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US7093560B2 (en) * 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20030210510A1 (en) 2002-05-07 2003-11-13 Hann Thomas C. Dynamic dechucking
US20030213559A1 (en) 2002-05-20 2003-11-20 Applied Science And Technology, Inc. Stabilization of electronegative plasmas with feedback control of RF generator systems
AU2003239392A1 (en) * 2002-05-29 2003-12-19 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US6894474B2 (en) * 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
US6953936B2 (en) * 2002-06-27 2005-10-11 Honeywell International, Inc. Ionization type smoke sensing chamber
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
KR20040024720A (ko) 2002-09-16 2004-03-22 삼성전자주식회사 건식 식각 장치의 플라즈마 감지 시스템
US20040126492A1 (en) * 2002-12-30 2004-07-01 Weaver Scott Andrew Method and apparatus for using ion plasma deposition to produce coating
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US6939726B2 (en) 2003-08-04 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Via array monitor and method of monitoring induced electrical charging
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
JP4364667B2 (ja) * 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
US20050229849A1 (en) * 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050212450A1 (en) * 2004-03-16 2005-09-29 Scientific Systems Research Limited Method and system for detecting electrical arcing in a plasma process powered by an AC source
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7691243B2 (en) * 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
US7334477B1 (en) * 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7578301B2 (en) * 2005-03-28 2009-08-25 Lam Research Corporation Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US9520276B2 (en) * 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US7319316B2 (en) * 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
KR20070035346A (ko) 2005-09-27 2007-03-30 삼성전자주식회사 플라즈마 감지 시스템이 구비된 플라즈마 처리장치
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7479207B2 (en) * 2006-03-15 2009-01-20 Lam Research Corporation Adjustable height PIF probe
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
JP4754419B2 (ja) 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
KR20080048310A (ko) 2006-11-28 2008-06-02 삼성전자주식회사 반도체 소자 제조용 플라즈마 장치
US20090007642A1 (en) * 2007-07-05 2009-01-08 Baxter International Inc. Dialysis fluid measurement method and apparatus using conductive contacts
US8070880B2 (en) * 2007-10-22 2011-12-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
WO2009076568A2 (en) * 2007-12-13 2009-06-18 Lam Research Corporation Plasma unconfinement sensor and methods thereof
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
WO2010005929A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
TWI475592B (zh) * 2008-07-07 2015-03-01 Lam Res Corp 用來偵測電漿處理腔室中之電漿不穩定性的被動電容耦合靜電探針裝置
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
CN102084473B (zh) * 2008-07-07 2014-10-22 朗姆研究公司 用于检测等离子处理室中激发步骤的电容耦合静电(cce)探针装置及其方法
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
TW201130539A (en) * 2010-03-11 2011-09-16 Joong Chenn Industry Co Ltd Exercise device with resistance inspection function
US8333166B2 (en) * 2011-05-04 2012-12-18 Nordson Corporation Plasma treatment systems and methods for uniformly distributing radiofrequency power between multiple electrodes

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107680897A (zh) * 2017-10-10 2018-02-09 中国科学技术大学 一种精密移动且侧面引线的介入式诊断装置
CN107680897B (zh) * 2017-10-10 2024-02-09 中国科学技术大学 一种精密移动且侧面引线的介入式诊断装置

Also Published As

Publication number Publication date
US8547085B2 (en) 2013-10-01
KR101588482B1 (ko) 2016-01-25
TW201010522A (en) 2010-03-01
JP5661622B2 (ja) 2015-01-28
WO2010005932A2 (en) 2010-01-14
WO2010005932A3 (en) 2010-05-06
JP2011527507A (ja) 2011-10-27
TWI494030B (zh) 2015-07-21
KR20110039250A (ko) 2011-04-15
US20100007337A1 (en) 2010-01-14
CN102084475B (zh) 2013-01-30

Similar Documents

Publication Publication Date Title
CN102084475B (zh) 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置
TWI389187B (zh) 具有接地構件完整性指示器之電漿處理室及其使用方法
JP5629058B2 (ja) プラズマの電気特性のセットを測定するための装置
CN104022008B (zh) 电容耦合等离子体反应器的有嵌入式rf 电极的陶瓷喷头
KR102166737B1 (ko) 정전 척 및 반도체·액정 제조 장치
US10978323B2 (en) Substrate holder having integrated temperature measurement electrical devices
CN104716082B (zh) 用于弹性体带的安装固定架
KR20160053991A (ko) 기판 온도 측정을 위한 가스 결합된 프로브
JP2016505218A (ja) 活性プラズマ内原位置測定のための高温センサウェーハ
JP2006319273A (ja) インターフェースアッセンブリ、及びそれを用いた乾燥ガス封入装置
JP2011165718A (ja) ガスシャワー用の構造体及び基板処理装置
TW201021151A (en) Substrate lift pin sensor
KR20070095241A (ko) 기판 처리 장치, 퇴적물 모니터링 장치 및 퇴적물 모니터링방법
KR20150135071A (ko) 정전척 및 반도체·액정 제조장치
TW201342759A (zh) 中段頻率射頻範圍中之高電壓偏壓電源用之旁路電容器
KR20140133436A (ko) 정전 척 및 반도체 제조 장치
JPH0324634B2 (zh)
JP2005260011A (ja) ウエハ処理装置およびウエハ処理方法
JP2006300578A (ja) 静電容量型圧力センサ及びその真空室の真空度評価方法
TW201921417A (zh) 測定裝置、測定方法及電漿處理裝置
JP7233245B2 (ja) 配線固定構造及び処理装置
CN110828272B (zh) 腔室内衬、下电极装置和半导体处理设备
JP7507187B2 (ja) ガスセンサの組立方法およびガスセンサの組立装置
JP2023122700A (ja) ガスセンサの組立方法およびガスセンサの組立装置
TW201538995A (zh) 陶瓷環測試裝置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant