JP2011527507A - プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置 - Google Patents

プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置 Download PDF

Info

Publication number
JP2011527507A
JP2011527507A JP2011517512A JP2011517512A JP2011527507A JP 2011527507 A JP2011527507 A JP 2011527507A JP 2011517512 A JP2011517512 A JP 2011517512A JP 2011517512 A JP2011517512 A JP 2011517512A JP 2011527507 A JP2011527507 A JP 2011527507A
Authority
JP
Japan
Prior art keywords
upper electrode
probe head
ring
probe
head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011517512A
Other languages
English (en)
Other versions
JP5661622B2 (ja
Inventor
ブース・ジャン−ポール
キール・ダグラス・エル.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2011527507A publication Critical patent/JP2011527507A/ja
Application granted granted Critical
Publication of JP5661622B2 publication Critical patent/JP5661622B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R19/00Arrangements for measuring currents or voltages or for indicating presence or sign thereof
    • G01R19/0046Arrangements for measuring currents or voltages or for indicating presence or sign thereof characterised by a specific application or detail not covered by any other subgroup of G01R19/00
    • G01R19/0061Measuring currents of particle-beams, currents from electron multipliers, photocurrents, ion currents; Measuring in plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】
【解決手段】プラズマ処理チャンバ内のプロセスパラメータを測定するための装置が提供されている。装置は、上側電極の開口部内に配置されたプローブ構造を備える。プローブ構造は、プローブヘッドを備えており、プローブヘッドは、ヘッド部およびフランジ部を備える。装置は、さらに、上側電極とフランジ部との間に配置されたO−リングを備える。装置は、さらに、プローブ構造が上側電極に触れないようにするために、ヘッド部と上側電極の開口部との間に配置される電気絶縁材料から形成されたスペーサを備える。スペーサは、フランジ部の下側を支持するよう構成されたディスク部を備える。スペーサは、さらに、ヘッド部を囲むよう構成された中空円筒部を備える。スペーサは、O−リングと処理チャンバの開口部との間に直角経路を形成して、O−リングと処理チャンバへの開口部との間の直接的な見通し経路を防止する。
【選択図】図2

Description

プラズマ処理システムは、集積回路(IC)を作るための電子製品に基板を処理するために長い間利用されている。プラズマは、例えば、誘導結合プラズマ、容量結合プラズマ、マイクロ波、電子サイクロトン共鳴(ECR)など、様々なプラズマ生成技術を用いて生成されてよい。
基板の処理中には、プラズマ処理チャンバ内部の様々なプロセスパラメータを正確かつ適時に監視することが、非常に望ましい。プラズマ対向プローブまたはセンサ技術は、導電材料で形成されたプローブまたはセンサの表面をプラズマに露出させることを含む技術であり、かかる監視作業に長い間利用されてきた。プロセスパラメータの測定に用いられてきたプラズマ対向監視プローブの1つのタイプは、米国特許第7,319,316号「Apparatus For Measuring A Set Of Electrical Characteristics In A Plasma」に記載されたようなイオン束プローブである。上述の米国特許第7,319,316号では、実質的にコプレーナなプローブが、プラズマ処理チャンバ内でイオン束を測定するために用いられる。測定されたイオン束は、その後、例えば、チャンバコンディショニング処理の終点を確かめる、プラズマ特性(例えば、イオン飽和電流、電子温度、浮遊電位など)を測定する、チャンバを整合させる(例えば、公称では同一であるはずのチャンバ間の差異を見つける)、チャンバ内での欠陥および問題を検出するなどの目的に利用されてよい。
イオン束プローブのいくつかの生産モデルがこの分野で用いられており、改良の余地があることがわかった。説明を容易にするために、図1に典型的なイオン束プローブ装置を示した。図1において、イオン束プローブ102は、プラズマ処理チャンバの上側電極の開口部104内に配置されている。上側電極は、通例、アルミニウムまたはグラファイトで形成されており、シリコンなどの適切な材料で形成されたプラズマ対向面106を備える。
イオン束プローブ102は、支持構造(その一部112が図示されている)と結合するためのステム110を備える。ステム110は、通例、アルミニウムなどの熱・電気伝導性の材料から形成される。絶縁リング114が、図に示すようにステム110を囲んでおり、開口部104内でステム110を中央に支持すると共に、上側電極の残り部分からステム110を電気的に絶縁するように設計されている。
イオン束プローブ102は、さらに、プラズマ対向プローブヘッド120を備えており、プラズマ対向プローブヘッド120は、(図1の例において上側電極の下方に配置される)プラズマからのパラメータの正確な測定を容易にするために、化学的および電気的に上側電極のプラズマ対向面106と実質的に同様になるよう設計された材料から形成される。図1の例では、プローブヘッド120も、シリコンから形成される。イオン束プローブ102および開口部104の間のギャップ136を通してチャンバ内に汚染物質が落下することを防止するために、O−リング130が設けられる。ギャップ136は、機械公差のため、また、処理サイクル中の熱膨張に対応するために存在する。O−リング130は、通例、弾性エラストマで形成されており、チャンバ内部のプロセスガスが上述のギャップを通して上方に抜けることを防ぐように密閉する機能も有する。
図に示すように、プローブヘッド120の周囲には、リング132が配置されている。リング132は、(図1の例の場合のように)石英、または、別の適切な誘電材料から形成されてよい。石英リング132は、プローブヘッド120を上側電極の残り部分から電気的に絶縁するよう設計される。石英リング132の第2の機能は、チャンバ内で生成されたプラズマの高エネルギイオンおよびラジカルによる過度の攻撃からO−リング130を保護することである。
しかし、イオン束プローブの設計において、および、チャンバへのイオン束プローブの取り付けにおいて、改良の余地があることがわかっている。例えば、石英リング132は、プローブヘッド120のシリコン材料とも、上側電極のプラズマ対向面106のシリコン材料とも異なる材料であるため、石英リング132の存在は、プラズマ処理中にチャンバ内で化学的負荷条件を作り出すことがわかっている。誘電体エッチング中など、特定のエッチング処理中に、石英リング132がエッチングされることで、チャンバ内部の化学組成またはプラズマ組成が変化し、基板上で望ましくないエッチング結果が生じうる。さらに、石英リング132が消費されると、上側電極の下面と、プローブヘッド120のプラズマ対向面との間に凹みが形成されて、「ポリマトラップ」を形成しうるため、その後の処理サイクルで基板上に粒子状汚染物質が付着する可能性が潜在的に高くなる。さらに、石英リング132が腐食すると、プラズマに対して提示されるプローブヘッドの形状が変化するため、イオン束プローブによる測定値に歪みを生じうる。
図1に見られるように、(図1の上側電極の下方に形成される)プラズマとO−リング130との間に、直接的な見通し線(direct line−of−sight)が存在する。この直接的な見通し線は、高エネルギイオンおよびラジカルなどのプラズマ構成成分が、O−リングに到達することを許容し、それによって、O−リングの劣化を加速させる。O−リング130の劣化が加速すると、O−リング130を交換するためのメンテナンスを行う頻度が高くなるため、システムの休止時間が長くなり、プラズマシステムのスループットが低下し、プラズマ処理ツールの所有コストが高くなる。
図1の構成に関する別の問題は、イオン束プローブ102と、上側電極の残り部分との間に機械的な基準がないことに関する。イオン束プローブ102は、上側電極と機械的に独立した支持構造112に結合されるため、プローブヘッド120の下面が上側電極の下面06と同一平面上にあることを保証するように、設置の際にイオン束プローブ102を正確に位置決めすることは困難であったことがわかっている。
改善可能である図1のイオン束プローブ構成の別の態様は、熱平衡に関する。正確な測定のためには、イオン束プローブ、特にイオンプローブヘッド120が、可能な限り迅速に上側電極の残り部分と熱平衡に達することが望ましい。しかし、図1のイオン束プローブ102は、支持構造112と機械的に結合されており、絶縁リング114および石英リング132(両方とも比較的低い熱伝導体である)を通して付随的に上側電極の残り部分と接しているだけなので、プローブヘッド120および上側電極の間で速やかに局所的な熱平衡を実現するという目標は、必ずしも満足に達成されていない。
本発明は、一実施形態では、プラズマ処理システムの処理チャンバ内のプロセスパラメータを測定するための装置に関する。装置は、上側電極の開口部内に配置されたプローブ構造を備えており、プローブ構造は、プローブヘッドを備える。プローブヘッドは、ヘッド部を備えており、ヘッド部は、プラズマ対向面を備えた円筒形のプラグであり、上側電極の開口部内に配置される。プローブヘッドは、フランジ部を備えており、フランジ部は、ヘッド部よりも大きい直径を有する中空の円筒形構造であり、上側電極の上面の上方に配置される。装置は、さらに、上側電極の上面と、プローブヘッドのフランジ部の下側対向面との間に配置されたO−リングを備える。装置は、さらに、プローブ構造が上側電極の開口部内に挿入された時に上側電極と接触しないように、プローブヘッドのヘッド部の垂直側壁と上側電極の開口部の垂直側壁との間に配置される電気絶縁材料から形成されたスペーサを備える。スペーサは、プローブヘッドのフランジ部の下側を支持するように少なくとも構成されたディスク部を備える。スペーサは、プローブヘッドのヘッド部を囲むよう構成された中空円筒部を備えており、中空円筒部は、ディスク部よりも小さい直径を有する。スペーサは、O−リングと処理チャンバの開口部との間に直角経路を形成することにより、O−リングと処理チャンバへの開口部との間の直接的な見通し経路(direct line-of-sight path)を防止する。
上述の発明の概要は、本明細書に開示された本発明の多くの実施形態の内の1つのみに関するものであり、特許請求の範囲に記載される本発明の範囲を限定する意図はない。添付の図面を参照しつつ行う本発明の詳細な説明において、本発明の上述の特徴およびその他の特徴を詳述する。
添付の図面では、限定ではなく例示を目的として本発明を図示する。なお、これらの添付図面においては、同様の構成要素には同様の符号が付されている。
従来技術のイオン束プローブ構成を示す図。
本発明の一実施形態に従って、改良イオン束プローブ構成を示す図。
以下では、添付図面に例示されたいくつかの実施形態を参照しつつ、本発明の詳細な説明を行う。以下の説明では、本発明の完全な理解を促すために、数多くの具体的な詳細事項が示されている。しかしながら、当業者にとって明らかなように、本発明は、これらの具体的な詳細事項の一部または全てがなくとも実施することが可能である。また、本発明が不必要に不明瞭となるのを避けるため、周知の処理工程および/または構造については、詳細な説明を省略した。
本発明の実施形態は、絶縁/スペーサリングの誘電材料(図1の石英リング132の石英材料など)の直接的なプラズマ暴露を排除した改良イオン束設計に関する。さらに、高アスペクト比の真空ギャップと、プラズマからO−リングへの鋭角の経路を用いるなど、革新的な設計変更が、O−リングの寿命を延ばすために組み込まれている。さらに、1または複数の実施形態において、改良設計は、上側電極をイオン束の機械的な基準とすることにより、プラズマ対向プローブヘッド面が、上側電極のプラズマ対向面と同一平面上に存在しうるように、イオン束プローブを正確に位置決めすることを可能にする。革新的な設計変更は、さらに、イオン束プローブと、周囲の上側電極材料との間の熱伝導率を改善し、イオン束プローブと上側電極との間の局所的な熱平衡をより速やかに実現することを可能にする。
本発明の実施形態の特長および利点は、以下の図面と説明を参照すれば、よりよく理解できる。図2は、本発明の1または複数の実施形態に従って、上側電極204内に配置された改良イオン束プローブ202を示す。イオン束プローブ202は、ソケット208と電気的に接触するプローブヘッド206を備える。プローブヘッド206は、一実施形態ではシリコンで形成された上側電極204のプラズマ対向面と同じ材料から形成される。
あるいは、プローブヘッド206は、プラズマ処理への悪影響を最小限に抑えつつ、正確なイオン束測定を可能にする別の材料から形成されてもよい。ソケット208は、導電材料から形成されており、例えば、ソケット208がアルミニウムで形成され、プローブヘッド206がシリコンで形成されている場合に、スズ/銀を含むはんだなどの導電性のはんだまたは結合剤を介してプローブヘッド206と電気的に結合される。プローブヘッド206によって得られた測定値を受信して中継するために、ソケット208内に接点ロッド(図示せず)が挿入されてよい。
プローブヘッド206は、ヘッド部206aおよびフランジ部206bを有する。ヘッド部206aは、上側電極204のプラズマ対向面210と同一平面上にあるプラズマ対向面206cを有するよう構成された円筒形のプラグである。フランジ部206bは、一実施形態において、ヘッド部206aよりも大きい直径を有する中空の円筒形構造である。スペーサ212が提供されており、一実施形態では、ディスク部212bと一体化された中空円筒部212aから形成される。SiN材料は誘電体エッチングに適合性を有するため、スペーサ212は、一実施形態において、誘電体エッチング用途のためにSiNから形成される。ただし、電気的な絶縁性を有し、想定されるプラズマ処理に適合する任意の剛体材料が、スペーサ212に用いられてよい。
中空円筒部212aは、プローブヘッド206のヘッド部206aを囲むよう構成され、ディスク部212bは、プローブヘッド206のフランジ部206bの下側を支持するよう構成される。2つの肩部216aおよび216bが、上側電極204に組み込まれており、中空円筒部212aおよびディスク部212bを受けるようになっている。スペーサ212が開口部220内に配置され、さらに、プローブヘッド206が開口部220内に配置されると、中空円筒部212aは、図に示すように、ヘッド部206aの垂直側壁と開口部220の側壁との間に挟まれる。さらに、ディスク部212bは、フランジ部206bの下側と、上側電極204で形成された上面226との間に挟まれる。以上のようにスペーサ212を挟むことにより、スペーサ212は、プローブヘッド206の垂直側壁と、周囲を上側電極204の材料に囲まれた開口部220の垂直側壁との間に、小さいギャップ222が形成されるように、開口部220内でプローブヘッド206を正確に位置決めするよう機能する。開口部220内でのプローブヘッド206の正確な位置は、プローブヘッド206と上側電極204の周囲材料との間の不用意な電気的短絡を防ぐために重要である。
図2に示すように、O−リング228が、上面226とフランジ部206bの下面との間に挟まれている。さらに、O−リング228は、フランジ部206bの外側に配置される。O−リング228は、(図2の例では上側電極204の下方の)チャンバ内部に汚染物質が到達することを防止すると共に、制御されていないチャンバガスの出入りの防止にも役立ち、それにより、処理の安定性を改善する。
ギャップ222は、ギャップ内でプラズマを維持することができないよう設計された高アスペクト比(すなわち、幅よりも長さがはるかに大きい)ギャップである。また、高アスペクト比ギャップは、ギャップ222を通る任意のラジカルが、O−リング228に到達する前にギャップ壁に沿って、再結合および/または中性化されうるように、ギャップ内に広い表面積を有する。スペーサ212によって形成されるプラズマからO−リングへの経路における直角ターンも、O−リング228に到達する高エネルギイオンの数を減少させるのに役立つ。これらの高エネルギイオンは、直角ターンの角に衝突して、O−リング228に到達する前に中性化されうるからである。
このように、改良された設計は、プラズマとO−リングとの間の直接的な見通し経路を回避することにより、O−リングの長寿命化に貢献する。さらに、プラズマに対して石英リングを設ける必要がないため、従来技術の構成に関連する欠点(プラズマ環境に望ましくない石英材料の存在、石英材料の意図しないエッチングによって生じる化学的負荷、および、プローブヘッドと周囲のプラズマ対向上側電極材料とのエッチングとは異なる速度で生じうる石英材料自体のエッチングなど)を排除する。その代わり、ギャップ222は、開口部220の周囲の上側電極材料からプローブヘッド206のヘッド部206aを電気的に絶縁する絶縁ギャップ「リング」として機能する。
図2の例において、上側電極204は、プラズマ対向層に結合された1または複数の上層から形成される。プラズマ対向層230は、上述のように、図2の例ではシリコンであり、誘電体エッチングなどのエッチング処理に適合する材料である。上層は、アルミニウムまたはグラファイトなど、熱・電気伝導材料から形成されてよい。図2の例において、上層232はグラファイトである。プローブ202に構造的支持を提供するために、スリーブ240が上側電極204に形成される。図2の例では、スリーブ240は、アルミニウムから形成されるが、ステンレス鋼など、その他の構造的に適切な材料が用いられてもよい。スリーブ240は、一実施形態において、上側電極のグラファイト材料に結合されてよい。
アルミニウムスリーブ240は、雌ねじ切り部240aおよび耳部240bを備える。雌ねじ切り部240aは、アルミニウムまたはステンレス鋼などの材料から形成されてよいねじ切り保持リング250を収容するために雌ねじを有する。プローブヘッド206のフランジ部206bの上面と、ねじ切り保持リング250の下面との間に、熱接触リング252が配置される。熱接触リング252は、プローブヘッド206とねじ切り保持リング250との間の熱伝導を高めつつ、プローブヘッド206とねじ切り保持リング250との間の電気的絶縁機能を提供するために、例えば、シリコンポリマまたはアルミナなどの適切な材料から形成されてよい。
ねじ切り保持リング250は、アルミニウムスリーブ240のねじ切り部240aの雌ねじに係合されて、(位置決め孔254を用いて)ねじ込まれると、スラストリングとして機能し、フランジ部206bを上面226に向かって下方に押しつけることにより、フランジ部206bをしっかりと捉え、開口部220内にプローブヘッド206を固定する。このように、上側電極204に対するプローブヘッド206の垂直方向の基準を確実に設けることにより、プローブヘッド206のプラズマ対向面と上側電極204のプラズマ対向面210との間で所望の同一平面を実現するように、上側電極204に対してプローブヘッド206のより正確な位置決めを行うことを可能にする。ねじ切り保持リング250によって提供される圧縮力は、さらに、O−リング228を圧縮することにより、密閉性を改善する。ねじ切り保持リング250は、ソケット208の直径よりも大きい直径を持つ内部貫通孔を有することが好ましい。このように、ソケット208とねじ切り保持リング250との間には導電路が存在しない。
耳部240bは、ねじ切り保持リング250がねじ込まれる時に、スリーブ240に掛かりうる回転トルクに構造的に抵抗する。また、耳部240b(1または複数が設けられてよい)は、スリーブ240と上側電極の残りのグラファイト材料との間に、大きい熱接触領域を提供する。熱的には、プローブヘッド206から、熱接触リング252、ねじ切り保持リング250、および、アルミニウムスリーブ240を介して、アルミニウムスリーブ240を囲む上側電極材料に至る効果的な熱伝導路が存在する。このように、図1の従来技術の構成と異なり、プローブヘッド206は、上側電極204の残り部分と、より速やかに熱平衡に到達しうるため、それにより、非常に長い熱安定化期間を待つ必要なしに、より正確および/またはより適時に測定を行うことを可能にする。
上側電極204の上層232が、ねじ切り保持リング250のねじ山に対応するねじ穴を構造的に形成できる材料(アルミニウムまたはステンレス鋼など)から形成される場合、スリーブ240を取り除いてもよいことに注意されたい。この場合、ねじ山は、上側電極204の材料に直接形成されてよい。また、ソケット208は、利便性のために設けられているため、一実施形態では取り除かれてもよい。ソケット208が取り除かれる場合、接点ロッドは、必要に応じて、プローブヘッド206に直接結合されてよい。
以上、いくつかの好ましい実施形態を参照しつつ本発明を説明したが、本発明の範囲内で、種々の代替物、置換物、および、等価物が可能である。例えば、イオン束プローブを用いて、プローブの位置決めおよび設置のための革新的な構造を説明したが、本願の革新的な機械構造および構成は、プラズマ処理中の1または複数のパラメータの測定を容易にするために、任意のプラズマ対向センサを任意のチャンバ面(上側電極を含むがそれに限定されない)上に正確に位置決めして取り付けるのに用いられてもよい。かかるセンサの例は、自励電子共振分光法(SEERS)、ラングミュアプローブ、または、それらの変形を含むがこれらに限定されない。また、本発明の方法および装置を実施する他の態様が数多く存在することにも注意されたい。本明細書では様々な例を提供したが、これらの例は、例示を目的としたものであり、本発明を限定するものではない。
また、発明の名称および発明の概要は、便宜上、本明細書で提供されているものであり、特許請求の範囲を解釈するために用いられるべきものではない。さらに、要約書は、非常に簡潔に書かれており、便宜上提供されているものであるため、特許請求の範囲に記載された発明全体を解釈または限定するために用いられるべきではない。「セット」という用語が用いられている場合には、かかる用語は、一般的に理解される数学的な意味を持ち、0、1、または、2以上の要素を網羅するよう意図されている。また、以下に示す特許請求の範囲は、本発明の真の趣旨および範囲内に含まれる代替物、置換物、および、等価物の全てを網羅するものとして解釈される。
102…イオン束プローブ
104…開口部
106…プラズマ対向面
110…ステム
112…支持構造
114…絶縁リング
120…プラズマ対向プローブヘッド
130…O−リング
132…リング
136…ギャップ
202…イオン束プローブ
204…上側電極
206…プローブヘッド
206a…ヘッド部
206b…フランジ部
206c…プラズマ対向面
208…ソケット
210…プラズマ対向面
212…スペーサ
212a…中空円筒部
212b…ディスク部
216a…肩部
216b…肩部
220…開口部
222…ギャップ
226…上面
228…O−リング
230…プラズマ対向層
232…上層
240…スリーブ
240a…雌ねじ切り部
240b…耳部
250…保持リング
252…熱接触リング
254…位置決め孔

Claims (20)

  1. プラズマ処理システムの処理チャンバ内のプロセスパラメータを測定するための装置であって、
    上側電極の開口部内に配置され、プローブヘッドを備えたプローブ構造であって、前記プローブヘッドは、
    プラズマ対向面を備えた円筒形のプラグであり、前記上側電極の前記開口部内に配置されたヘッド部と、
    前記ヘッド部よりも大きい直径を有する中空の円筒形構造であり、前記上側電極の上面の上方に配置されたフランジ部とを備えるプローブ構造と、
    前記上側電極の前記上面と、前記プローブヘッドの前記フランジ部の下側対向面との間に配置されたO−リングと、
    前記プローブ構造が前記上側電極の前記開口部内に挿入された時に前記上側電極と接触しないように、前記プローブヘッドの前記ヘッド部の垂直側壁と前記上側電極の前記開口部の垂直側壁との間に配置される電気絶縁材料から形成されたスペーサであって、
    前記プローブヘッドの前記フランジ部の下側を支持するように少なくとも構成されたディスク部と、
    前記プローブヘッドの前記ヘッド部を囲むよう構成され、前記ディスク部よりも小さい直径を有する中空円筒部とを備えるスペーサと
    を備え、
    前記スペーサは、前記O−リングと前記処理チャンバへの開口部との間に直角経路を形成することによって、前記O−リングと前記処理チャンバへの前記開口部との間の直接的な見通し経路を防止する、装置。
  2. 請求項1に記載の装置であって、前記プローブ構造はイオン束プローブである装置。
  3. 請求項1に記載の装置であって、前記プローブヘッドはシリコンから形成される装置。
  4. 請求項1に記載の装置であって、前記プローブヘッドの前記プラズマ対向面は、前記上側電極のプラズマ対向面と同一平面上にある装置。
  5. 請求項1に記載の装置であって、前記スペーサは窒化シリコン(SiN)から形成される装置。
  6. 請求項1に記載の装置であって、前記スペーサは、前記ヘッド部の前記垂直側壁と前記上側電極の前記開口部の前記垂直側壁との間に高アスペクト比のギャップを形成し、前記高アスペクト比のギャップは、水平方向の幅よりも大きい垂直方向の長さを有する装置。
  7. 請求項1に記載の装置であって、前記プローブヘッドは、導電材料から形成されたソケットと電気的に接触する装置。
  8. 請求項7に記載の装置であって、前記プローブヘッドは、導電性のはんだによって前記ソケットに結合される装置。
  9. 請求項8に記載の装置であって、接点ロッドが前記ソケット内に挿入されており、前記接点ロッドは、前記プローブヘッドから得られた測定データを少なくとも受信して中継するよう構成されている装置。
  10. 請求項1に記載の装置であって、接点ロッドが前記プローブヘッドに結合されており、前記接点ロッドは、前記プローブヘッドから得られた測定データを少なくとも受信して中継するよう構成されている装置。
  11. 請求項1に記載の装置であって、前記上側電極は、
    シリコンから形成されたプラズマ対向層と、
    前記プラズマ対向層に結合され、熱・電気伝導性材料から形成された1組の上層と
    を備えるよう構成される装置。
  12. 請求項11に記載の装置であって、前記1組の上層はアルミニウムから形成される装置。
  13. 請求項11に記載の装置であって、前記1組の上層はグラファイトから形成される装置。
  14. 請求項11に記載の装置であって、前記上側電極はスリーブを備え、前記スリーブは、雌ねじ切り部および耳部を備える装置。
  15. 請求項14に記載の装置であって、前記スリーブはアルミニウムから形成される装置。
  16. 請求項14に記載の装置であって、前記雌ねじ切り部は、ねじ切り保持リングに係合されることにより、前記O−リングを圧縮して、前記プローブヘッドの前記フランジ部を前記上側電極の前記上面に向かって下方に押しつける装置。
  17. 請求項16に記載の装置であって、前記ねじ切り保持リングは、ソケットの直径よりも大きい直径を有する内部貫通孔を備え、前記ソケットは、前記プローブヘッドと電気的に接触する装置。
  18. 請求項17に記載の装置であって、前記フランジ部の上側対向面と前記ねじ切り保持リングの下面との間に、熱接触リングが配置されており、前記熱接触リングは、前記プローブヘッドを前記ねじ切り保持リングから電気的に絶縁する装置。
  19. 請求項17に記載の装置であって、前記熱接触リングはシリコンポリマから形成される装置。
  20. 請求項11に記載の装置であって、前記上側電極の前記1組の上層は、雌ねじ切り部を備え、前記雌ねじ切り部は、ねじ切り保持リングに係合されることにより、前記O−リングを圧縮して、前記プローブヘッドの前記フランジ部を前記上側電極の前記上面に向かって下方に押しつける装置。
JP2011517512A 2008-07-07 2009-07-07 プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置 Active JP5661622B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US7874508P 2008-07-07 2008-07-07
US61/078,745 2008-07-07
PCT/US2009/049760 WO2010005932A2 (en) 2008-07-07 2009-07-07 Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber

Publications (2)

Publication Number Publication Date
JP2011527507A true JP2011527507A (ja) 2011-10-27
JP5661622B2 JP5661622B2 (ja) 2015-01-28

Family

ID=41504582

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011517512A Active JP5661622B2 (ja) 2008-07-07 2009-07-07 プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置

Country Status (6)

Country Link
US (1) US8547085B2 (ja)
JP (1) JP5661622B2 (ja)
KR (1) KR101588482B1 (ja)
CN (1) CN102084475B (ja)
TW (1) TWI494030B (ja)
WO (1) WO2010005932A2 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4409589B2 (ja) * 2007-07-31 2010-02-03 株式会社 日立ディスプレイズ 液晶表示装置
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
KR20110039239A (ko) 2008-07-07 2011-04-15 램 리써치 코포레이션 플라즈마 처리 챔버에서의 스트라이크 단계를 검출하기 위한 용량 결합형 정전 (cce) 프로브 장치 및 그 방법
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
US8179152B2 (en) * 2008-07-07 2012-05-15 Lam Research Corporation Passive capacitively-coupled electrostatic (CCE) probe arrangement for detecting plasma instabilities in a plasma processing chamber
TWI511622B (zh) 2008-07-07 2015-12-01 Lam Res Corp 用來偵測電漿處理腔室中之原位電弧事件的被動電容耦合靜電探針裝置
KR20110046437A (ko) * 2008-07-07 2011-05-04 램 리써치 코포레이션 플라즈마 처리 챔버 내의 막을 특성화하기 위한 rf 바이어스된 용량-결합형 정전 프로브 장치
US8889021B2 (en) * 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
US9017513B2 (en) * 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
CN107680897B (zh) * 2017-10-10 2024-02-09 中国科学技术大学 一种精密移动且侧面引线的介入式诊断装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002118098A (ja) * 2000-10-10 2002-04-19 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2002241939A (ja) * 2001-02-16 2002-08-28 Canon Inc 真空シール構造および真空処理装置
WO2007005210A2 (en) * 2005-06-29 2007-01-11 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
JP2007294419A (ja) * 2006-03-15 2007-11-08 Lam Res Corp 高さ調整可能なpifプローブ
WO2007145801A2 (en) * 2006-06-07 2007-12-21 Lam Research Corporation Method and apparatus to detect fault conditions of a plasma processing reactor

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2006A (en) * 1841-03-16 Clamp for crimping leather
US2002A (en) * 1841-03-12 Tor and planter for plowing
US2005A (en) * 1841-03-16 Improvement in the manner of constructing molds for casting butt-hinges
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US2008A (en) * 1841-03-18 Gas-lamp eok conducting gas pkom ah elevated buhner to one below it
US2004A (en) * 1841-03-12 Improvement in the manner of constructing and propelling steam-vessels
US2007A (en) * 1841-03-16 Improvement in the mode of harvesting grain
US4595487A (en) * 1985-03-18 1986-06-17 Kennecott Corporation Sensing probe holder system
US5473162A (en) * 1987-10-26 1995-12-05 Baylor University Infrared emission detection of a gas
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4982067A (en) * 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5175472A (en) * 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JPH0737817A (ja) 1993-06-28 1995-02-07 Sony Corp プラズマ計測用プローブ及びこれを用いたプラズマ計測方法
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
FR2738984B1 (fr) * 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
US6345589B1 (en) 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
US5985092A (en) * 1996-12-17 1999-11-16 United Microelectronics Corp. Endpoint detection system
US5989349A (en) * 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US6024831A (en) * 1997-08-20 2000-02-15 Vanguard International Semiconductor Corporation Method and apparatus for monitoring plasma chamber condition by observing plasma stability
JPH11354509A (ja) * 1998-04-07 1999-12-24 Seiko Epson Corp プラズマエッチングの終点検出方法及びプラズマエッチング装置
JP2000003909A (ja) * 1998-06-15 2000-01-07 Kishimoto Sangyo Co Ltd 半導体デバイス用絶縁膜および半導体デバイス
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP2000031072A (ja) * 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置
WO2000015884A1 (fr) * 1998-09-11 2000-03-23 Japan Science And Technology Corporation Dispositif combinatoire d'épitaxie de couche moléculaire
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
JP2001144071A (ja) 1999-11-10 2001-05-25 Toshiba Corp プラズマ処理方法及びその装置
NL1013938C2 (nl) * 1999-12-23 2001-06-26 Asm Int Inrichting voor het behandelen van een wafer.
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6347749B1 (en) * 2000-02-09 2002-02-19 Moore Epitaxial, Inc. Semiconductor processing reactor controllable gas jet assembly
TW483037B (en) * 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP3968211B2 (ja) * 2000-08-31 2007-08-29 株式会社日立製作所 微弱磁場計測デュワー
US20040028810A1 (en) * 2000-10-16 2004-02-12 Primaxx, Inc. Chemical vapor deposition reactor and method for utilizing vapor vortex
US6833710B2 (en) * 2000-10-27 2004-12-21 Axcelis Technologies, Inc. Probe assembly for detecting an ion in a plasma generated in an ion source
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
JP4128339B2 (ja) * 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7163587B2 (en) * 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6730174B2 (en) * 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US7093560B2 (en) * 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20030210510A1 (en) 2002-05-07 2003-11-13 Hann Thomas C. Dynamic dechucking
US20030213559A1 (en) 2002-05-20 2003-11-20 Applied Science And Technology, Inc. Stabilization of electronegative plasmas with feedback control of RF generator systems
WO2003102724A2 (en) * 2002-05-29 2003-12-11 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US6894474B2 (en) * 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
US6953936B2 (en) * 2002-06-27 2005-10-11 Honeywell International, Inc. Ionization type smoke sensing chamber
KR20040024720A (ko) 2002-09-16 2004-03-22 삼성전자주식회사 건식 식각 장치의 플라즈마 감지 시스템
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040126492A1 (en) * 2002-12-30 2004-07-01 Weaver Scott Andrew Method and apparatus for using ion plasma deposition to produce coating
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US6939726B2 (en) 2003-08-04 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Via array monitor and method of monitoring induced electrical charging
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
US20050229849A1 (en) * 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
JP4364667B2 (ja) * 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
US20050212450A1 (en) * 2004-03-16 2005-09-29 Scientific Systems Research Limited Method and system for detecting electrical arcing in a plasma process powered by an AC source
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7691243B2 (en) * 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
US7334477B1 (en) * 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7578301B2 (en) * 2005-03-28 2009-08-25 Lam Research Corporation Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US9520276B2 (en) * 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
KR20070035346A (ko) 2005-09-27 2007-03-30 삼성전자주식회사 플라즈마 감지 시스템이 구비된 플라즈마 처리장치
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
JP4754419B2 (ja) 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
KR20080048310A (ko) 2006-11-28 2008-06-02 삼성전자주식회사 반도체 소자 제조용 플라즈마 장치
US20090007642A1 (en) * 2007-07-05 2009-01-08 Baxter International Inc. Dialysis fluid measurement method and apparatus using conductive contacts
US8070880B2 (en) * 2007-10-22 2011-12-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US8894804B2 (en) * 2007-12-13 2014-11-25 Lam Research Corporation Plasma unconfinement sensor and methods thereof
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
US8179152B2 (en) * 2008-07-07 2012-05-15 Lam Research Corporation Passive capacitively-coupled electrostatic (CCE) probe arrangement for detecting plasma instabilities in a plasma processing chamber
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
KR20110039239A (ko) * 2008-07-07 2011-04-15 램 리써치 코포레이션 플라즈마 처리 챔버에서의 스트라이크 단계를 검출하기 위한 용량 결합형 정전 (cce) 프로브 장치 및 그 방법
TWI511622B (zh) * 2008-07-07 2015-12-01 Lam Res Corp 用來偵測電漿處理腔室中之原位電弧事件的被動電容耦合靜電探針裝置
KR20110046437A (ko) * 2008-07-07 2011-05-04 램 리써치 코포레이션 플라즈마 처리 챔버 내의 막을 특성화하기 위한 rf 바이어스된 용량-결합형 정전 프로브 장치
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
TW201130539A (en) * 2010-03-11 2011-09-16 Joong Chenn Industry Co Ltd Exercise device with resistance inspection function
US8333166B2 (en) * 2011-05-04 2012-12-18 Nordson Corporation Plasma treatment systems and methods for uniformly distributing radiofrequency power between multiple electrodes

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002118098A (ja) * 2000-10-10 2002-04-19 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2002241939A (ja) * 2001-02-16 2002-08-28 Canon Inc 真空シール構造および真空処理装置
WO2007005210A2 (en) * 2005-06-29 2007-01-11 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
JP2007294419A (ja) * 2006-03-15 2007-11-08 Lam Res Corp 高さ調整可能なpifプローブ
WO2007145801A2 (en) * 2006-06-07 2007-12-21 Lam Research Corporation Method and apparatus to detect fault conditions of a plasma processing reactor

Also Published As

Publication number Publication date
TW201010522A (en) 2010-03-01
WO2010005932A3 (en) 2010-05-06
US8547085B2 (en) 2013-10-01
CN102084475B (zh) 2013-01-30
CN102084475A (zh) 2011-06-01
TWI494030B (zh) 2015-07-21
KR101588482B1 (ko) 2016-01-25
KR20110039250A (ko) 2011-04-15
US20100007337A1 (en) 2010-01-14
JP5661622B2 (ja) 2015-01-28
WO2010005932A2 (en) 2010-01-14

Similar Documents

Publication Publication Date Title
JP5661622B2 (ja) プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置
KR101234938B1 (ko) 플라즈마의 전기적 특성 세트를 측정하기 위한 장치
US8038833B2 (en) Plasma processing apparatus
US7867355B2 (en) Adjustable height PIF probe
US20060275933A1 (en) Thermally conductive ceramic tipped contact thermocouple
JP5246836B2 (ja) プラズマ処理装置用のプロセス性能検査方法及び装置
JP2007258239A (ja) 基板処理装置、堆積物モニタ装置、及び堆積物モニタ方法
JP2006319273A (ja) インターフェースアッセンブリ、及びそれを用いた乾燥ガス封入装置
US20170069553A1 (en) Microwave probe, plasma monitoring system including the microwave probe, and method for fabricating semiconductor device using the system
JP2003060016A (ja) 電流導入端子及び半導体製造装置
JP2010103431A (ja) 半導体素子の製造方法、半導体基板の加工方法及びドライエッチング装置
JP5749071B2 (ja) プラズマ処理装置
JP4867241B2 (ja) プラズマ計測装置
JP2005069850A (ja) 走査型プローブ顕微鏡

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120629

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131224

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140320

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140328

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140624

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141111

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141203

R150 Certificate of patent or registration of utility model

Ref document number: 5661622

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250