KR20110039239A - 플라즈마 처리 챔버에서의 스트라이크 단계를 검출하기 위한 용량 결합형 정전 (cce) 프로브 장치 및 그 방법 - Google Patents

플라즈마 처리 챔버에서의 스트라이크 단계를 검출하기 위한 용량 결합형 정전 (cce) 프로브 장치 및 그 방법 Download PDF

Info

Publication number
KR20110039239A
KR20110039239A KR1020117000347A KR20117000347A KR20110039239A KR 20110039239 A KR20110039239 A KR 20110039239A KR 1020117000347 A KR1020117000347 A KR 1020117000347A KR 20117000347 A KR20117000347 A KR 20117000347A KR 20110039239 A KR20110039239 A KR 20110039239A
Authority
KR
South Korea
Prior art keywords
plasma
processing chamber
characteristic parameter
parameter measurements
measurements
Prior art date
Application number
KR1020117000347A
Other languages
English (en)
Inventor
쟝-뽈 부스
더글라스 엘 케일
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110039239A publication Critical patent/KR20110039239A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/0006Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature
    • H05H1/0081Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature by electric means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/0006Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature
    • H05H1/0012Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature using electromagnetic or particle radiation, e.g. interferometry

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • General Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

플라즈마 처리 시스템의 처리 챔버 내의 안정화된 플라즈마를 식별하기 위한 방법이 제공된다. 방법은, 처리 챔버 내에서 스트라이크 단계를 실행하여 플라즈마를 생성하는 것을 포함한다. 스트라이크 단계는 처리 챔버 내에 실질적으로 높은 가스압을 인가하고, 처리 챔버 내에 낮은 무선 주파수 (RF) 전력을 유지하는 것을 포함한다. 방법은 또한 스트라이크 단계 동안 특성 파라미터 측정값의 세트를 수집하기 위해 프로브 헤드를 채용하는 것을 포함하고, 프로브 헤드는 처리 챔버의 표면 상에 있으며, 여기서 그 표면은 기판 표면과 근접 이내에 있다. 방법은 또한 특성 파라미터 측정값의 세트와 미리 정의된 범위를 비교하는 것을 포함한다. 특성 파라미터 측정값의 세트가 미리 정의된 범위 내에 있다면, 안정화된 플라즈마가 존재한다.

Description

플라즈마 처리 챔버에서의 스트라이크 단계를 검출하기 위한 용량 결합형 정전 (CCE) 프로브 장치 및 그 방법{CAPACITIVELY-COUPLED ELECTROSTATIC (CCE) PROBE ARRANGEMENT FOR DETECTING STRIKE STEP IN A PLASMA PROCESSING CHAMBER AND METHODS THEREOF}
플라즈마 처리 챔버에서의 기판 처리 동안, 만족스러운 결과는 종종 프로세스 파라미터들의 엄격한 제어를 요구한다. 이것은 특히, 고밀도 집적 회로들을 제조하기 위해 채용되는 퇴적, 식각, 세정 등과 같은 프로세스에 대해 적용된다.
소정의 식각 프로세스의 실행에 있어서, 예를 들어, 플라즈마는 실제 식각 단계가 기판 상에 수행될 수 있기 이전에 안정되고 특성화가 잘될 필요가 있다. 안정되고 특성화가 잘된 플라즈마를 개시하기 위해서, 스트라이크 단계 레시피로 알려져 있는 특별한 레시피가 종종 채용된다. 스트라이크 단계 동안, 상대적으로 높은 가스압이 플라즈마 처리 챔버에 채용되어 플라즈마 점화를 확보한다. 무선 주파수 (RF) 전력은 종종 낮게 유지되어, 기판 및/또는 챔버 컴포넌트들에 대한 우발적인 손상을 방지한다. 스트라이크 단계는, 실제 식각 (통상 보다 높은 RF 전력을 채용함) 이 미리 정의된 식각 레시피에 따라 기판 상에서 시작되기 이전에, 챔버 내의 플라즈마 조건이 일부 미리 정의된 허용가능한 레벨에 도달하는 것을 확보한다. 즉, 스트라이크 단계는 실제 식각에 적합하지 않은 플라즈마 조건을 수반할 수 있지만, 그럼에도 불구하고 스트라이크 단계는 기판마다의 만족스러운 식각 결과 및 높은 디바이스 수율을 확보하는데 있어서 매우 중요한 단계이다.
종래 기술에서, 스트라이크 단계는 일부 미리 정의된 가장 잘 알려져있는 방법, 또는 BKM 에 따라서 일부 임의의 기간 동안 종종 수행된다. 스트라이크 단계 기간은 통상 테스트 기판으로부터 획득된 피드백 데이터에 기초하여 미리 실험적으로 결정되며, 각 식각 레시피의 실행 이전에 실행된다. 예를 들어, 일부 BKM 는 식각 이전에 플라즈마의 신뢰할만한 점화 및 안정화를 확보하기 위해 5 초의 스트라이크 단계를 요구할 수 있다. 플라즈마가 5 초 기간 중 1 초, 2 초, 3 초 또는 4 초에 점화 및 안정화되었는지와 무관하게 전체 5 초의 스트라이크 단계가 통상 수행된다.
플라즈마가 미리 정의된 스트라이크 단계 기간에 매우 빨리 점화 및 안정화된다면, 스트라이크 단계 기간의 남아있는 부분은, 플라즈마가 이미 점화 및 안정화되었기 때문에 본질적으로 낭비된 시간을 나타내며, 그 시간 동안 유용한 식각은 일어나지 않는다. 낭비된 시간은 플라즈마 처리 시스템의 전반적인 처리량 (throughput) 을 감소시키며, 이는 (제조되는 디바이스의 유닛들의 기능에 따른) 플라즈마 툴의 소유 비용을 보다 높게 한다. 또한, 낭비된 시간 동안 챔버에서의 스트라이크 플라즈마의 존재는, 챔버 컴포넌트들의 시기상조의 열화에 기여하고 (이로써 보다 빈번한 세정 및 보수관리 사이클이 필요함), 그리고/또는 개선 및/또는 개선된 기판 제조와 관련된 상응하는 혜택없이 기판의 원치않는 식각에 기여한다.
한편, 플라즈마가 점화에 실패하거나 또는 스트라이크 단계의 종료 이후 계속해서 지속된다면, 특성화가 잘된 플라즈마 부재에서의 주요 식각 단계의 개시는 종종 기판 손상을 유발한다.
상기 측면에서, 스트라이크 단계가 성공적인지를 검출하는 기술 및/또는 스트라이크 단계를 수행하는데 필요한 기간을 최소화하는 개선된 기술들이 요구된다.
본 발명은, 일 실시형태에서, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하기 위한 방법에 관한 것이다. 방법은, 처리 챔버 내에서 스트라이크 단계를 실행하여 플라즈마를 생성하는 것을 포함한다. 스트라이크 단계는 처리 챔버 내에 실질적으로 높은 가스압을 인가하고, 처리 챔버 내에 낮은 무선 주파수 (RF) 전력을 유지하는 것을 포함한다. 방법은 또한 스트라이크 단계 동안 특성 파라미터 측정값의 세트를 수집하기 위해 프로브 헤드를 채용하는 것을 포함하고, 프로브 헤드는 처리 챔버의 표면 상에 있으며, 여기서 그 표면은 기판 표면과 근접 (close proximity) 이내에 있다. 방법은 또한 특성 파라미터 측정값의 세트와 미리 정의된 범위를 비교하는 것을 포함한다. 특성 파라미터 측정값의 세트가 미리 정의된 범위 내에 있다면, 안정화된 플라즈마가 존재한다.
상기 개요는 본 명세서에 개시된 본 발명의 많은 실시형태 중 단지 하나에 관한 것이며, 청구범위에 기재되어 있는 본 발명의 범위를 제한하는 것으로 의도되지 않는다. 본 발명의 이들 특징 및 다른 특징이 하기 도면과 결합하여 이하의 본 발명의 상세한 설명에서 보다 상세히 기재된다.
본 발명은, 첨부된 도면의 그림에서 한정이 아닌 예시로서 설명되며, 동일한 참조 번호는 동일한 구성요소를 지칭한다.
도 1 은 CCE 프로브 장치의 일 예를 나타낸다.
도 2 는 종래 기술에서 수행된 이온 전류 (단위 시간당 단위 면적당 이온 플럭스) 대 시간의 플롯을 나타낸다.
도 3 은 본 발명의 일 실시형태에 따라서, 이온 전류 (단위 시간당 단위 면적당 이온 플럭스) 대 시간의 플롯을 나타낸다.
논의 (DISCUSSION) 의 도 4 는 플라즈마 생성을 위해 반응기 챔버에 용량-결합된 무선 주파수 (RF) 소스를 갖는 플라즈마 시스템의 일부의 간단한 개략도를 나타낸다.
논의의 도 5a 는 RF 충전 이후 시간 대 전압의 그래프를 나타낸다.
논의의 도 5b 는 RF 충전 이후 수집된 전류 데이터의 그래프를 나타낸다.
논의의 도 6 은 RF 버스트 (burst) 사이의 단일 시간 구간에 대한 간단한 전압 대 전류 그래프를 나타낸다.
논의의 도 7 은, 본 발명의 일 실시형태에 있어서, 기판 처리 동안 플라즈마를 자동으로 특성화하는 전반적인 단계들을 도시하는 간단한 플로우차트를 나타낸다.
논의의 도 8 은, 본 발명의 일 실시형태에 있어서, 관련 범위 및 시드값을 결정하기 위한 간단한 알고리즘을 나타낸다.
논의의 도 9a 는 RF 버스트 이후 시간 대 전류의 일 예를 나타낸다.
논의의 도 9b 는 RF 버스트 이후 시간 대 전압의 일 예를 나타낸다.
논의의 도 9c 는 변곡점의 일 예를 나타낸다.
논의의 도 9d 는 전압 대 전류 그래프에 적용된 곡선-핏팅 (curve-fitting) 의 일 예를 나타낸다.
이하, 첨부된 도면에 도시된 몇 가지 실시형태를 참조하여 본 발명을 상세히 기술한다. 다음의 설명에서, 많은 구체적인 상세가 본 발명의 완전한 이해를 제공하기 위해 제시된다. 그러나, 본 발명이 이러한 구체적 상세의 일부 또는 전부 없이도 실행될 수 있다는 것이 당업자에게는 자명하다. 다른 예시에서, 잘 알려져 있는 공정 단계들 및/또는 구조들은, 본 발명을 불필요하게 모호하게 하지 않도록 하기 위해서 상세하게 기재되지 않았다.
본 발명의 실시형태는, 스트라이크 단계의 성공적인 완료를 검출하기 위한 용량 결합형 정전 (CCE, Capacitively-coupled electrostatic) 프로브들의 사용에 관한 것이다. CCE 프로브들은 플라즈마 처리 파라미터들을 측정하기 위해 오랫동안 채용되어 왔다. 당업계에 상세히 알려져 있는 CCE 프로브들은 공개적으로 입수 가능한 문헌으로부터 획득될 수 있는데, 예를 들어 발명의 명칭이 "플라즈마 내 이온 흐름을 측정하기 위한 방법 및 장치 (Method And Device For Measuring An Ion Flow In A Plasma)" (1999년 8월 10일) 인 미국 특허 제 5,936,413 호를 포함하며, 이는 본 명세서에서 참조로서 원용된다. CCE 프로브들은 많은 이점을 제공하며, 예를 들어 향상된 검출 감도, 센서의 작은 크기로 인한 플라즈마에 대한 최소한의 섭동, 챔버 벽 상에의 장착의 용이함, 센서 헤드 상의 폴리머 퇴적에 대한 둔감성을 포함한다. 또한, 센서의 플라즈마 대향 표면은 종종 주위 챔버 벽의 플라즈마 대향 표면과 동일한 재료로 형성될 수 있고, 이로써, 플라즈마에 대한 섭동을 더욱 최소화할 수 있다. 이러한 이점들은 프로세스 파라미터들을 감지하는데에 사용함에 있어서 CCE 프로브들을 상당히 바람직하게 한다.
일반적으로 말하면, CCE 프로브 장치는 측정 커패시터의 일 플레이트에 연결된 플라즈마 대향 센서를 수반한다. 여기서 예시적인 CCE 프로브 장치가 도 1에 도시된다. 도 1에서, (챔버 (130) 의 벽에 배치되는) 플라즈마 대향 센서 (102) 는 측정 커패시터 (104) 의 일 플레이트 (104a) 에 커플링된다. 측정 커패시터 (104) 의 다른 플레이트 (104b) 는 RF 전압 소스 (106) 에 커플링된다. RF 전압 소스 (106) 는, 프로브로 하여금 음으로 바이어스되게 하는 RF 발진 트레인을 주기적으로 공급하고, 측정은 측정 커패시터에 걸쳐 수행되어 각 RF 발진 트레인의 종단 직후의 커패시터 전류 방전율을 결정한다. 전류 측정 디바이스 (120) 는 측정 커패시터 (104) 및 RF 전압 소스 (106) 사이에 직렬로 배치되어 커패시터 전류 방전율을 검출한다. 택일적으로 또는 부가적으로, 전압 측정 디바이스 (122) 는 플레이트 (104a) 및 접지 사이에 커플링되어 프로브 헤드의 전위를 측정한다. CCE 프로브 장치 및 CCE 프로브 동작과 관련한 상세는 전술한 미국 특허 5,936,413 호에서 논의되며, 본 명세서에서는 더 이상 논의되지 않을 것이다.
상술한 바와 같이, 도전성 재료로 형성된 프로브 헤드는 챔버의 표면에 설치된다. 짧은 RF 트레인은 프로브에 인가되어, 커패시터 (Cm) 로 하여금 충전되게 하고, 프로브의 표면으로 하여금 음 전위 (접지에 대하여 수십 볼트의 음 전위) 를 획득하게 한다. RF 펄스의 종료 이후, 프로브의 전위가 Cm의 방전에 따라 부유 전위로 다시 감쇠한다. 전위가 변하는 비율은 플라즈마 특성에 의해 결정된다. 이러한 방전 동안, 프로브의 전위 (Vf) 는 전압 측정 디바이스 (122) 로 측정되고, 프로브를 따라 커패시터 (Cm) 를 통하여 흐르는 전류는 전류 측정 디바이스 (120) 로 측정된다. 곡선 V(t) 및 I(t) 는 전류-전압 특성 (V-I) 을 구성하는데 사용되며, 이는 이후 신호 처리기에 의해 분석된다. 모델 함수는, 이들 데이터 포인트들에 핏팅되어, 부유 전위 Vf, 이온 포화 전류 Isat 및 전자 온도 Te 의 추정치를 생성한다. 더욱 상세한 내용은, 미국 특허청에 2008년 6월 26일에 출원 (출원 번호 제 61/075,948 호) 되고, 미국 특허청에 2009년 6월 2일 출원 (출원 번호 제 12/477,007 호) 된, 발명의 명칭이 "플라즈마를 자동으로 특성화하기 위한 방법 (Methods for Automatically Characterizing a Plasma)" 인 공동계류중인 출원에서 알 수 있으며, 이는 본 명세서의 '논의 (DISCUSSION)' 에 포함되어 있다.
본 발명의 하나 이상의 실시형태에 따라서, 진보적인 기술이 스트라이크 단계의 성공적인 완료의 검출을 가능하게 하기 위해 제안된다. 이온 플럭스를 모니터링함으로써, 이온 플럭스 데이터에서의 플라즈마 점화의 신호 단계 특성이 적절한 신호 처리 시스템 소프트웨어 및/또는 하드웨어를 사용하여 검출될 수 있다는 것이 발명자들에 의해 실현된다. 일단 플라즈마 점화가 일어나면, 이온 플럭스가 일정한 기간 동안 모니터링될 수 있다. 플라즈마 점화의 신호 단계 특성의 모니터링은, 플라즈마 점화가 일어날 것이 기대될 수 있는 시간 프레임에서 수행될 수 있다. 안정된 이온 플럭스의 확립이 이러한 시간 윈도우 이내에서 관측된다면, 플라즈마는 안정화되는 것으로 언급되고 스트라이크 단계는 성공적이라 여겨진다. 즉, 스트라이크 단계의 성공적인 완료는 플라즈마 점화 이벤트의 검출 및 후속하는 플라즈마 파라미터들이 주어진 기간 동안 소정의 조건을 이행하는 결정의 양자를 요구한다.
택일적으로 또는 부가적으로, 전자 온도가 모니터링될 수 있다. 전자 온도를 모니터링함으로써, 부가적인 입증 데이터 포인트가 검출된 플라즈마 점화 이벤트를 입증하기 위해서 이용가능할 수 있다.
택일적으로 또는 부가적으로, 프로브 헤드의 부유 전위가 모니터링될 수 있다. 부유 전위를 모니터링함으로써, 부유 전위 데이터에서의 플라즈마 점화의 신호 단계 특성이 적절한 신호 처리 시스템 소프트웨어 및/또는 하드웨어를 사용하여 검출될 수 있다. 일단 플라즈마 점화가 발생되면, 부유 전위는 일정 기간 동안 모니터링될 수 있다. 소정의 조건이 이 시간 윈도우 이내에서 충족된다면, 플라즈마는 안정화되는 것으로 언급되고 스트라이크 단계는 성공적이라 여겨진다. 이온 플럭스 모니터링의 경우에서와 같이, 스트라이크 단계의 성공적인 완료는 플라즈마 점화 이벤트의 검출 및 후속하는 플라즈마 파라미터들이 주어진 기간 동안 소정의 조건을 이행하는 결정의 양자를 요구한다.
도 2 는 종래 기술에서 수행된 시간 대 이온 전류 (단위 시간당 단위 면적당 이온 플럭스) 의 플롯을 나타낸다. 도 2 에서, 포인트 200 은 스트라이크 단계의 시작을 나타낸다. 포인트 200 및 포인트 210 사이의 기간은 스트라이크 단계를 나타낸다. 단계 202 는 플라즈마의 점화를 반영한다. 사실상, 도 2에서 볼 수 있는 바와 같이, 플라즈마는 포인트 204 에서 시작하여 이미 안정화된다. 종래 기술 BKM 이 스트라이크 단계의 시작 (200) 이후 시간의 고정된 양을 필요로 하기 때문에, 고정된 기간이 포인트 210 에서 만료할 때까지 스트라이크 단계가 수행되는 것이 허용된다. 당업계에 있는 누구나 포인트 204 및 포인트 210 사이의 기간이 본질적으로 낭비된 시간을 나타내는 것임을 쉽게 알 수 있으며, 낭비된 시간은 시스템 처리량을 감소시키고, 안정화 포인트 이후의 긴 기간에 걸친 스트라이크 플라즈마 존재에 기인해 기판 및/또는 챔버 컴포넌트들을 잠재적으로 손상시킨다.
도 3 은, 본 발명의 일 실시형태에 따라서, 시간 대 이온 전류 (단위 시간당 단위 면적당 이온 플럭스) 의 플롯을 나타낸다. 도 3에서, 포인트 300 은 스트라이크 단계의 시작을 나타낸다. 단계 302 는 플라즈마의 점화를 반영한다. 점화 이벤트 이후, 플라즈마는 안정화되기 시작한다. 포인트 304 에서, 플라즈마는 안정화된다. 이온 플럭스 및/또는 전자 온도 및/또는 부유 전위를 모니터링함으로써, 플라즈마의 점화가 검출될 수 있다. 포인트 302 (플라즈마 점화) 및 포인트 304 사이의 기간에서의 플라즈마 조건이 만족스럽다면, 식각 프로세스는 포인트 304 로부터 시작할 수 있으며, 이로써 (종래 기술 도 2 의 포인트 204 및 210 사이의 기간과 같은) 긴 낭비 기간을 없앨 수 있다. 플라즈마 점화가 전혀 검출되지 않는다면 (예를 들어 단계 302 가 없다면), 스트라이크 단계는 실패한 것으로 여겨진다는 것에 주의한다. 이러한 방식으로, 본 발명은, 플라즈마가 성공적으로 점화 및/또는 안정화되는 것과 무관하게 미리 정의된 스트라이크 단계 기간의 만료시 식각 단계가 시작된다는 점에서, 종래 기술에 비해 상당한 이점들을 제공한다.
본 발명의 하나 이상의 실시형태에서, 특성 파라미터 측정값 (예컨대, 이온 플럭스 측정값, 전자 온도 측정값 및/또는 부유 전위 측정값) 이, 예시적인 식각에 있어서 플라즈마 점화 동안, 안정화 시간 동안 등에서 실험적으로 획득될 수 있다. 일단 이들 특성 파라미터들이 일부 테스트 기판들에 대해서 확인된다면, 스트라이크 단계가 성공적으로 완료되는지의 여부를 결정하는 장래의 프로세스 런들로부터의 파라미터 판독 (reading) 과 비교하기 위해서, 특성 파라미터 패턴들이 채용될 수 있다.
입사되거나 또는 반사된 RF 전력 또는 RF 임피던스 프로브들을 측정하는 것과 같은 종래 기술의 파라미터 측정 기술들과 달리, 본 발명의 CCE 프로브에 기초한 스트라이크 단계 검출 기술은 상당히 민감하다. 이것은, 본 발명의 실시형태가, 처리되는 기판 가까이에 있는 반응기 벽에 대한 이온 플럭스를 직접 측정하는 프로브를 사용하기 때문이다. 즉, 프로브에 의해 측정되는 이온 플럭스는, 기판 표면에 도착되는 플럭스와 매우 긴밀히 관련되며, 이 측정을 고유한 절대 측정으로 만든다. 따라서, 검출 시스템은 이온 플럭스가 안정되고 (예를 들어, 과도한 발진 또는 불안정성이 없음), 식각 단계로의 전이를 트리거하기 이전에 설정 제어 한계 내에 있음을 입증할 수 있다.
이러한 직접적인 측정 접근법은, 보다 비간접적인 측정인 경향이 있고, 스트라이크 단계 검출 동안 잘못된 포지티브 및/또는 잘못된 네가티브를 보다 잘 제공하기 쉬우며, 교정하거나 절대적으로 만드는 것이 보다 어려운, 종래 기술 (예를 들어, 전술한 RF 전력 측정 또는 임피던스 프로브 측정) 과 같지 않다.
부가적으로, CCE 프로브 헤드는 작고, 플라즈마 처리 챔버의 주위 플라즈마 대향 구조와 플러싱되어 설치되는 경향이 있고, 그리고 챔버의 플라즈마-대향 컴포넌트들과 동일한 재료로 형성되는 플라즈마-대향 프로브 표면을 가질 수 있기 때문에, 플라즈마에 대한 최소한의 섭동이 있다. 또한, 본 발명의 CCE 프로브에 기초한 스트라이크 단계 검출 기술은, 프로브 헤드의 플라즈마-대향 표면 상에 형성될 수도 있는 임의의 퇴적을 통해서 전류가 용량적으로 결합되기 때문에, 플라즈마-대향 프로브 헤드 상의 폴리머 퇴적에 민감하지 않다.
본 발명이 몇몇 바람직한 실시형태에 의해 설명되었지만, 본 발명의 범위 내에 포함되는, 변형물, 치환물, 및 등가물이 존재한다. 본 발명의 방법 및 장치를 구현하는 많은 대체 방법이 존재한다는 것도 또한 주목되어야 한다. 본 명세서에 다양한 예가 제공되지만, 이러한 예들은 본 발명에 관한 예시이고 본 발명을 제한하는 것은 아니다.
또한, 명칭과 개요는 편의를 위해 본 명세서에서 제공되며, 본 명세서의 청구범위를 해석하는데에 사용되어서는 안된다. 또한, 요약서는 상당히 축약된 형태로 기록되어 있고 본 명세서에서 편의상 제공되는 것이므로, 청구항에서 표현되는 발명 전체를 해석 또는 한정하는데 이용되어서는 안된다. 용어 "세트 (set) " 가 본 명세서에서 채용된다면, 그러한 용어는 통상적으로 이해되는 수학적 의미가 0, 1, 또는 1 초과의 멤버를 커버하도록 하는 것으로 의도된 것이다. 또한 다음의 첨부된 청구항은 그러한 모든 변형물, 치환물, 및 등가물을 포함하고 본 발명의 진정한 사상 및 범위 내에 있는 것으로 해석되도록 의도되었다.
논의 (DISCUSSION) 는 또한 미국 특허청에 2008년 6월 26일에 출원 (출원 번호 제 61/075,948 호) 되고, 2009년 6월 2일 출원 (출원 번호 제 12/477,007 호) 된, 발명의 명칭이 "플라즈마를 자동으로 특성화하기 위한 방법 (Methods for Automatically Characterizing a Plasma)" 인 공동 계류중인 출원에서 알 수 있으며, 이는 본 명세서에서 참조로서 원용된다.
플라즈마를 자동으로 특성화하기 위한 방법의 논의
플라즈마 처리에서의 발전은 반도체 산업의 성장을 가져왔다. 전형적인 전자 제품용으로 칩을 공급하기 위하여, 수백 또는 수천의 기판 (예를 들어, 반도체 웨이) 이 처리될 수도 있다. 제조사가 경쟁력 있게 하기 위하여, 제조사는 최소한의 처리 시간으로 기판을 품질 좋은 반도체 디바이스로 처리할 수 있을 필요가 있다.
통상적으로, 플라즈마 처리 동안, 기판으로 하여금 악영향을 받을 수도 있게 하는 문제가 발생할 수 있다. 처리되는 기판의 품질을 변경시킬 수도 있는 하나의 중요한 인자는 플라즈마 그 자체이다. 플라즈마를 분석하기 위한 충분한 데이터를 가지기 위하여, 센서들이 각 기판에 대한 처리 데이터를 수집하는데 채용될 수도 있다. 수집된 데이터는 문제의 원인을 파악하기 위하여 분석될 수도 있다.
논의를 돕기 위해, 도 4 는 플라즈마 시스템 (A-100) 의 일부에서의 데이터 수집 프로브의 간단한 개략도를 나타낸다. 플라즈마 시스템 (A-100) 은, 플라즈마 (A-106) 를 생성하기 위하여 반응기 챔버 (A-104) 에 용량-결합된 맥동 (pulsating) 무선 주파수 (RF) 발생기와 같은 RF 소스 (A-102) 를 포함할 수도 있다. RF 소스 (A-102) 가 턴 온될 때, 바이어스 전압이 외부 커패시터 (A-108) 에 걸쳐 발전되는데, 외부 커패시터는 약 26.2 nF (nanofarads) 일 수 있다. 일례에서, RF 소스 (A-102) 는 매 수 ms (millisecond)(예를 들어, 약 5 ms) 마다 외부 커패시터 (A-108) 로 하여금 충전되도록 작은 버스트 (burst) 의 전력 (예를 들어, 11.5 MHz) 을 제공할 수도 있다. RF 소스 (A-102) 가 턴 오프될 때, 바이어스 전압은, 프로브 (A-110) 가 이온 수집을 위해 바이어스 되도록, 외부 커패시터 (A-108) 에 대해 극성을 갖고 유지된다. 바이어스 전압이 감쇠됨에 따라, 도 5a, 도 5b, 및 도 6 에 나타낸 곡선이 그려질 수 있다.
당업자들은 프로브 (A-110) 가 보통 반응기 챔버 (A-104) 의 벽에 대해 배치될 수 있는 도전성의 평면을 갖는 전기 프로브인 것을 알고 있다. 이로써 프로브 (A-110) 는 반응기 챔버 (A-104) 환경에 직접적으로 노출되어 있다. 프로브 (A-110) 에 의해 수집된 전류와 전압 데이터는 분석될 수도 있다. 어떤 레시피는 비전도성 퇴적층 (A-116) 으로 하여금 프로브 (A-110) 상에 퇴적되게 할 수도 있으므로, 모든 프로브가 신뢰할만한 측정값을 수집할 수 있는 것은 아니다. 그러나, 당업자는, PIF (planar ion flux) 프로브 방식이 측정을 구현하기 위해 직류 (DC; Direct Current) 를 끌어낼 필요가 없으므로, PIF 프로브가 비전도성 퇴적층임에도 불구하고 데이터가 수집되도록 할 수 있다는 것을 알고 있다.
플라즈마 시스템 (A-100) 에서의 전류 및 전압 신호는 다른 센서들에 의해 측정된다. 예시로, RF 소스 (A-102) 가 스위치 오프될 때, 전류 센서 (A-112) 및 고 임피던스 전압 센서 (A-114) 는 각각 전류 및 전압을 측정하기 위해 채용된다. 이후 전류 센서 (A-112) 및 전압 센서 (A-114) 로부터 수집된 측정 데이터는 전류 그래프와 전압 그래프를 생성하도록 플로팅될 수도 있다. 데이터는 수작업으로 플로팅될 수 있거나, 또는 데이터는 그래프 생성을 위한 소프트웨어 프로그램에 입력될 수도 있다.
도 5a 는 RF 충전 사이클 이후 전압 대 시간의 그래프를 나타낸다. 데이터 포인트 (B1-202) 에서, RF 소스 (A-102) 는 RF 충전이 제공 (즉, RF 버스트) 된 이후 스위치 오프되었다. 이 예시에서, 데이터 포인트 (B1-202) 에서, 프로브 (A-110) 에 걸친 전압은 약 -57V 이다. 플라즈마 시스템 (A-100) 이 휴지 상태 (데이터 포인트 B1-204 와 B1-206 사이의 구간) 로 복귀할 때, 전압은 보통 부유 전압 전위에 도달한다. 이 예시에서, 부유 전압 전위는 약 -57V 에서약 0V 까지 상승한다. 그러나, 부유 전압 전위는 0이 될 필요는 없고, 음 또는 양의 바이어스 전압 전위가 될 수도 있다.
마찬가지로, 도 5b 는 RF 충전 이후에 수집된 전류 데이터의 그래프를 나타낸다. 데이터 포인트 (B2-252) 에서, RF 소스 (A-102) 는 RF 충전이 제공된 이후에 스위치 오프되었다. 감쇠 구간 (B2-254) 동안, 외부 커패시터 (A-108) 에서 복귀 전류가 방전될 수도 있다. 일 예에서, 완전 충전 (데이터 포인트 B2-252) 에서, 전류는 약 0.86 mA/㎠ 이다. 그러나, 전류가 완전 방전 (데이터 포인트 B2-256) 될 때, 전류는 0으로 복귀한다. 그래프에 기초하여, 방전은 약 75 ms 걸린다. 데이터 포인트 (B2-256) 에서 데이터 포인트 (B2-258) 까지, 커패시터는 방전된 채로 유지된다.
전류 데이터와 전압 데이터가 모두 1 주기의 시간에 걸쳐 수집되므로, 전류 대 전압 그래프는 시간 변수를 소거하기 위하여 시간을 조정함으로써 생성될 수도 있다. 바꿔 말하면, 수집된 전류 데이터는 수집된 전압 데이터에 대하여 매칭될 수도 있다. 도 6 은 RF 버스트 사이의 단일 시간 구간에 대한 간단한 전류 대 전압 그래프를 나타낸다. 데이터 포인트 (C-302) 에서, RF 소스 (A-102) 는 RF 충전이 제공된 이후에 스위치 오프되었다.
비선형 핏팅을 각 RF 버스트 동안 수집된 데이터에 적용함으로써, 플라즈마 (A-106) 가 특성화될 수도 있다. 바꿔 말하면, 플라즈마 (A-106) 를 특성화할 수도 있는 파라미터들 (예를 들어, 이온 포화, 이온 포화 기울기, 전자 온도, 부유 전압 전위 등) 이 결정될 수도 있다. 플라즈마 (A-106) 가 수집된 데이터로 특성화될 수 있다 하더라도, 파라미터들 계산하는 과정은 인간 개입을 필요로하는 지루한 수작업 과정이다. 일 예로, 데이터가 각 RF 버스트 이후에 수집되었을 때 (즉, RF 충전이 제공된 후 턴 오프 되었을 때), 데이터는 소프트웨어 분석 프로그램으로 입력될 수도 있다. 소프트웨어 분석 프로그램은 플라즈마를 특성화할 수도 있는 파라미터들을 결정하기 위하여 비선형 핏팅을 수행할 수도 있다. 플라즈마를 특성화함으로써, 엔지니어는 기판의 표준 처리를 최소화하기 위하여 레시피가 어떻게 조정될 수 있는지를 결정할 수도 있다.
불행히도, 각 RF 버스트에 대한 데이터를 분석하는 종래 기술의 방법은 완료하기 위해서 수 초를 필요로 하거나 또는 수 분 만큼의 많은 시간을 필요로 할 수도 있다. 통상적으로 수 천, 그렇지 않으면 수 백만의 분석할 RF 버스트가 있으므로, 레시피를 위한 플라즈마 특성화의 전체 시간은 계산하는데 수 시간이 걸릴 수도 있다. 결과적으로, 종래 기술의 방법은 공정 제어 목적으로 관련 데이터를 시기적절하게 공급하는 데 있어 효과적인 방법은 아니다.
이하, 첨부된 도면에 도시되는 몇 가지 실시형태와 관련하여 본 발명을 상세히 기재한다. 하기 설명에서, 많은 구체적인 상세가 본 발명의 완전한 이해를 제공하기 위하여 제시된다. 그러나 당업자에게는, 본 발명이 이러한 구체적인 상세의 일부 또는 전부 없이도 실행될 수 있음이 자명할 것이다. 다른 예시에 있어서, 잘 알려진 공정 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않기 위하여 상세히 기술되지 않았다.
이하, 다양한 실시형태가 방법과 기술을 포함하여 기재된다. 본 발명은 또한 본 발명의 기술의 실시형태를 수행하기 위한 컴퓨터-판독가능 명령들이 저장되어 있는 컴퓨터 판독가능한 매체를 포함하는 제조물을 포함할 수도 있다는 점을 유념해야 한다. 컴퓨터 판독가능 매체는, 예를 들어 컴퓨터 판독가능한 코드를 저장하기 위한 반도체, 자기적, 광자기적, 광학적, 또는 기타 형태의 컴퓨터 판독가능 매체를 포함할 수도 있다. 더욱이, 본 발명은 또한 본 발명의 실시형태를 실행하기 위한 장치를 포함할 수도 있다. 그러한 장치는 본 발명의 실시형태에 관한 작업을 수행하기 위한 전용 및/또는 프로그램가능한 회로를 포함할 수도 있다. 그러한 장치의 예는 적절히 프로그램될 때 범용 컴퓨터 및/또는 전용 컴퓨팅 디바이스를 포함하고, 본 발명의 실시형태에 관한 다양한 작업에 적응된 컴퓨터/컴퓨팅 디바이스의 조합 및 전용/프로그램가능한 회로를 포함할 수도 있다.
전술한 바와 같이, PIF 프로브 방법은 반응기 챔버 환경 내에 위치할 수도 있는 플라즈마에 관한 데이터를 수집하기 위해 채용될 수도 있다. 센서 (예를 들어, PIF 프로브) 로부터 수집된 데이터는 반응기 챔버 내 플라즈마를 특성화하기 위해 채용될 수도 있다. 추가적으로, 센서는 도 4에 나타낸 수집 표면을 채용하므로, 챔버 표면에 관한 데이터 또한 결정될 수도 있다. 종래 기술에서, PSD 프로브에 의해 수집된 데이터는 분석에 이용가능한 즉각적인 데이터 소스를 제공한다. 불행히도, 수집될 수 있는 엄청난 양의 데이터는 시기적절한 방식의 데이터 분석을 어렵게 만든다. 수천 또는 심지어 수백만의 데이터 포인트들이 수집될 수도 있으므로, 플라즈마를 정확히 특성화하기 위하여 관련 구간을 식별하는 작업은, 특히 데이터가 보통 수작업으로 분석되고 있으므로, 엄청난 작업일 수도 있다. 결과적으로, 수집된 데이터는, 플라즈마 처리 시스템에 플라즈마의 시기적절한 특성화를 제공함에 있어서는 유용하지 않았다.
하지만, 만일 플라즈마를 특성화하기 위해 필요한 관련 데이터 포인트가, 수집될 수 있는 수천/수백만의 데이터 포인트들로부터 식별된다면, 플라즈마를 특성화하는데 필요한 시간은 현저히 감소할 수도 있다. 본 발명의 실시형태에 의해서, 상대적으로 짧은 시간 내에 플라즈마를 자동으로 특성화하는 방법이 제공된다. 본 명세서에 기재한 본 발명의 실시형태는 플라즈마를 특성화하기 위하여 분석될 필요가 있을 수 있는 데이터 포인트들을 감소시키기 위하여 적정 범위를 식별하기 위한 알고리즘을 제공한다. 본 명세서에서 논의한 바와 같이, 적정 범위는 각 RF 버스트 사이에서 수집될 수 있는 수천 또는 수백만의 데이터 포인트들로부터 더 작은 세트의 데이터 포인트들을 지칭한다. 본 발명의 실시형태는 또한, 플라즈마 특성화를 위한 값을 계산하는 수학적 모델에 적용될 수도 있는 시드값의 추정을 제공한다. 적정 범위로 곡선-핏팅을 수행함으로써, 플라즈마를 특성화하기 위해 채용될 수도 있는 파라미터들이 계산될 수도 있다.
본 발명의 특징들 및 이점들은 하기의 도면과 논의를 참조하여 보다 잘 이해될 수도 있다.
도 7 은, 본 발명의 실시형태에서, 기판 처리 동안 플라즈마를 자동으로 특성화하는 단계들을 도시한 간단한 플로우차트를 나타낸다. 기판 처리 동안 RF 충전이 제공된 상황을 고려한다.
제 1 단계 (D-402) 에서, 전류 및 전압 데이터가 수집된다. 일 예로, RF 소스가 턴 온 된 후에 RF 충전 (펄스) 이 공급된다. RF 충전이 턴 오프 된 후에 전류 센서 및 전압 센서는, 반응기 챔버의 챔버 벽에 장착될 수도 있는, PIF 프로브 (planar ion flux probe) 와 같은 프로브에서 데이터를 수집하는데 채용될 수 있다. 전술한 바와 같이, 센서들에 의해 수집될 수 있는 데이터 포인트들의 수는 수천 또는 수백만의 범위일 수 있다. 일부의 경우, 수천에서 수만의 데이터 포인트들이 각 RF 버스트 사이에서 수집될 수도 있어, 종래 기술에서는 거의 불가능했던 실시간에 가까운 분석이 가능하다.
종래 기술에서는, 반도체 기판 처리 동안 수집되는 측정 데이터를 분석하는데 수 시간이 할당될 수도 있다. 본 발명의 일 양태에서, 본 명세서에서의 발명자는, 각 RF 버스트 사이의 측정 데이터가 플라즈마를 특성화하기 위해 분석될 필요가 없음을 인식하게 되었다. 대신, 곡선-핏팅이 데이터 세트의 적정 범위로 적용되면, 플라즈마를 특성화하기 위해 채용될 수도 있는 파라미터들이 결정될 수도 있다.
다음 단계 (D-404) 에서, 적정 범위가 결정된다. 전술한 바와 같이, 적정 범위는 각 RF 버스트 사이에서 수집된 데이터 세트의 서브세트를 지칭한다. 종래 기술에서는, 데이터가 수작업으로 분석되기 때문에, 수집된 상당한 양의 데이터가 적정 범위를 계산하는 것을 어렵게 만든다. 많은 경우, 적정 범위는 시각적으로 추정될 수도 있다. 적정 범위를 식별함에 있어서, 존재 가능한 노이즈가 데이터 세트의 서브세트로부터 실질적으로 소거될 수도 있다. 일 예로, 복잡한 기판 처리 동안, 폴리머 축적 (buildup) 이 프로브 상에서 발생할 수 있고, 이는 수집된 데이터의 일부로 하여금 왜곡되게 한다. 예를 들어, 영향을 받을 수 있는 데이터의 일부는, 일단 커패시터가 완전히 방전되면, 수집 가능한 데이터가 되는 경향이 있다. 적정 범위를 식별함에 있어서, 폴리머 축적과 관련한 데이터가 분석에서 제거될 수도 있다. 바꿔 말하면, 적정 범위의 결정은 랜덤 노이즈에 종속되지 않고도 플라즈마 특성화가 발생하게 할 수 있다. 적정 범위가 어떻게 결정되는지에 대한 논의는, 예를 들어, 도 8 의 논의에서 이후에 제공된다.
상기 적정 범위를 식별하는 것에 부가하여, 시드값 또한 다음 단계 (D-406) 에서 결정될 수 있다. 본 명세서에서 논의된 바와 같이, 시드값은 기울기, 전자 온도, 이온 포화값, 부유 전압 전위 등의 추정치를 지칭한다. 시드값이 어떻게 추정될 수 있는지에 대한 논의는, 예를 들어, 도 8 의 논의에서 제공된다.
상기 적정 범위 및 시드값은 곡선-핏팅을 수행하는데 이용된다. 곡선-핏팅은 다음 RF 버스트 전에 수행되어야 하므로, 적정 범위 및/또는 시드값을 결정하기 위해서 채용되는 방법은, 최소의 오버헤드를 이용해야 하고 최종 핏팅 값에 근접한 값을 생성해야 하는데, 이로써 신속한 수렴을 달성하기 위해 요구될 수 있는 곡선-핏팅 반복 (iteration) 의 수를 감소시킨다.
적정 범위와 시드값으로, 다음 단계 (D-408) 에서, 비선형 핏팅 (즉, 곡선-핏팅) 이 수행될 수도 있는데, 이로써 고가의 하이엔드 컴퓨터를 요하지 않고도 더 짧은 시간 내에 플라즈마가 특성화되도록 할 수 있다. 종래 기술과 달리, 이 방법은 단일 RF 버스트에 의한 감쇠 구간으로부터의 결과에 대해, 수 분 또는 심지어 수시간의 처리 시간을 요하는 대신에 대략 20 ms 내에서 특성화되도록 한다. 거의 실시간 분석이 가능하므로, 이 방법은 플라즈마 처리 중 엔지니어에게 적정 데이터를 제공하는 자동 제어 시스템의 일부로 적용될 수 있다.
도 8 은, 본 발명의 일 실시형태에서, 적정 범위 및 시드값의 결정을 위한 간단한 알고리즘을 나타낸다. 도 8 은 도 9a, 도 9b, 도 9c, 및 도 9d 와 관련하여 논의된다.
제 1 단계 (E-502) 에서, 각 RF 버스트 동안 수집된 데이터는 자동으로 플로팅된다. 일 예로, 전류 센서에 의해 수집될 수 있는 전류 데이터는 도 9a 에 나타낸 것과 같이, 전류 대 시간 그래프 (F1-600) 로 플로팅된다. 또 다른 예에서, 수집된 전압 데이터는 도 9b에서 나타낸 바와 같이 전압 대 시간 그래프 (F2-650) 로 플로팅될 수도 있다. 비록 데이터는 종래 기술에서와 유사한 그래프를 생성할 수도 있지만, 종래 기술과 달리, 수집된 데이터는 인간의 개입을 요하지 않고 분석 프로그램으로 자동으로 입력된다. 택일적으로, 수집된 측정 데이터는 플로팅될 필요가 없다. 대신에, 데이터는 분석 프로그램으로 직접 입력될 수도 있다. 대신에, 그래프가, 알고리즘을 설명하기 위하여 시각적 예로서 제공된다.
종래 기술과 달리, 플라즈마를 특성화하기 위해 전체 데이터 세트가 분석되지는 않는다. 대신에, 적정 범위가 결정된다. 적정 범위를 결정하기 위해, 다음 단계 (E-504) 에서 퍼센트 감쇠점 (decay point) 이 먼저 결정될 수 있다. 본 명세서에서 논의된 바와 같이, 퍼센트 감쇠점은 원래 값이 원래 값의 일정 퍼센트로 감쇠되는 데이터 포인트를 지칭한다. 일 실시형태에서, 퍼센트 감쇠점은 분석될 데이터 구간의 종단을 나타낼 수도 있다. 일 예로, RF 소스가 스위치 오프될 때, 전류 값은 약 0.86 mA/㎠ 이다. 그 값은 도 9a 의 그래프 (F1-600) 상의 데이터 포인트 (F1-602) 에 의해 표시된다. 만일 퍼센트 감쇠점이 원래 값의 10 퍼센트로 설정된다면, 퍼센트 감쇠점은 그 값이 약 0.086 mA/㎠ 인 데이터 포인트 (F1-604) 이다. 바꿔 말하면, 퍼센트 감쇠점은 원래 값에 미리 정의된 퍼센트를 적용함으로써 결정될 수 있는데, 원래 값은 RF 소스가 스위치 오프되고 시스템이 평형 상태로 되돌아 갈 때의 전기적 전하의 값이다. 일 실시형태에서, 퍼센트 값은 실험적으로 결정된다. 일 실시형태에서, 퍼센트 감쇠점을 채용하여 데이터 구간의 종단을 결정하는 대신, 각 RF 버스트에 대해 수집된 데이터의 1차 미분의 피크값이 계산될 수도 있다.
다음 단계 (E-506) 에서, 알고리즘은, 원래 값과 제 2 감쇠점 사이에 있는 데이터 서브세트인 이온 포화 구간을 결정할 수도 있다. 본 명세서에서 논의된 바와 같이, 이온 포화 구간은, 프로브에 대한 전자 플럭스가 무시할만한 정도가 되도록 프로브 전위가 부유 전위에 대해 충분히 음전위인, 전류-전압 (IV) 곡선의 영역을 지칭한다. 이 영역에서 프로브에 대한 전류는 증가하는 음전위에 따라 천천히 그리고 선형으로 증가한다. 또한, 이온 포화 구간은, 프로브가 시스템에서 모든 이용가능한 이온들을 수집하도록 하기 위해, 바이어스 전압이 부유 전위에 대해 충분히 음전압인, 영역이다. 바꿔 말하면, 수집된 전류는 바이어스 전압이 충분히 높이 상승됨에 따라 "포화"된다. 또한, 본 명세서에서 논의된 바와 같이, "이용가능한 이온들"은, 바이어스 전압이 더욱 증가함에 따라 확대될 수도 있는 시스 (sheath) 경계에 충돌하는 이온들의 플럭스를 지칭한다.
바꿔 말하면, 이온 포화 구간은 도 9a 의 데이터 포인트 (F1-602) 와 데이터 포인트 (F1-606) 로부터의 구간이다. 일 실시형태에서, 제 2 감쇠점은 원래 값 (즉, 데이터 포인트 (F1-602)) 의 퍼센트를 취함으로써 결정될 수도 있다. 일 예로, 만일 제 2 감쇠점이 원래 값의 약 95 퍼센트라면, 제 2 감쇠점은 약 0.81 mA/㎠ (즉, 데이터 포인트 (F1-606)) 이다. 그러므로, 이온 포화 구간은 원래 값 (데이터 포인트 (F1-602)) 으로부터 제 2 감쇠점 (데이터 포인트 (F1-606)) 까지이다. 제 2 감쇠점은 원래 값 (데이터 포인트 (F1-602)) 과 퍼센트 감쇠점 (데이터 포인트 (F1-604)) 사이에 있음을 유의한다. 퍼센트 감쇠점과 마찬가지로, 일 실시형태에서, 제 2 감쇠점 또한 미리 정의된 임계치에 기초할 수도 있다. 일 실시형태에서, 퍼센트는 실험적으로 결정된다.
일단 이온 포화 구간이 결정되면, 다음 단계 (E-508) 에서, 기울기 (s) 및 이온 포화 (i0) 가 추정될 수도 있다. 전술한 바와 같이, 기울기 (s) 와 이온 포화 (i0) 는 플라즈마를 특성화하는 파라미터들을 결정하는 수학적 모델 (아래 식 2) 에 적용될 수도 있는 4 개의 시드값 중 2 개이다. 일 예로, 기울기 (s) 는 선형 회귀법을 수행함으로써 결정될 수도 있다. 다른 실시형태에서, 알고리즘은 또한 데이터 포인트 (F1-602) 와 데이터 포인트 (F1-606) 사이의 데이터 값의 평균을 취함으로써 이온 포화 (i0) 를 결정할 수도 있다.
다음 단계 (E-510) 에서, 알고리즘은 1차 미분값이 부호가 바뀌는 점인 변곡점을 결정할 수도 있다. 일 실시형태에서, 변곡점은 퍼센트 감쇠점과 제 2 감쇠점 사이의 전류값의 1차 미분의 최소값을 식별함으로써 계산될 수도 있다. 예시하기 위해, 도 9c 는 전류 신호 (F3-660) 의 원래 포인트 (F3-662) 와 퍼센트 감쇠점 (F3-664) 사이의 값의 1차 미분을 나타낸다. 변곡점은 1차 미분 (F3-670) 의 최소 데이터 포인트이며, 이것은 -0.012 mA/㎠ 의 값과 226 의 인덱스 값을 갖는다 (데이터 포인트 (F3-666) 로 나타냄). 변곡 값을 결정하기 위해서, 인덱스 값은 전류 신호 플롯 (F3-660) 에 맵핑된다. 이 예에서, 1차 미분의 인덱스 값이 전류 신호 (F3-660) 에 맵핑될 때, 변곡 값은 데이터 포인트 (F3-668) 에 나타낸 바와 같이 0.4714 mA/㎠ 이다.
일 실시형태에서, 적정 범위는 원래 값과 변곡점 사이의 범위로 정의된다. 부가하여 또는 택일적으로, 변곡점을 계산하는 대신에 퍼센트 감쇠 임계치가 (예를 들어, 35 퍼센트로) 설정될 수도 있다. 일 예로, 실험적으로 결정될 수도 있는 35 퍼센트의 퍼센트 감쇠점을 사용하여, 적정 범위는 도 9a 의 점 (F1-602) 과 점 (F1-604) 의 사이가 될 수 있다.
Figure pct00001
파라미터들 정의
파라미터들 변수명
Imeas 측정된 전류
Vmeas 측정된 전압
t 현재 시간
Te 전자 온도
식별된 변곡점으로, 다음 단계 (E-512) 에서 전자 온도가 추정될 수도 있다. 전자 온도는 상기 식 1을 채용함으로써 추정될 수 있다. 전자 온도를 계산하는데 이용되는 전류 및 전압 데이터는, 보통 프로브가 이온 포화 전류보다 더 적은 전류를 도출하는 때인, 전이 구간 내에 있다. 일 실시형태에서, 전류 및 전압 데이터가 측정되는 시간이 변곡점에 대응할 수 있다. 택일적으로, 전류-전압 (I-V) 곡선의 변곡점이 또한 채용될 수도 있다. 전자 온도는 전류-전압 곡선 상의 변곡점에 대응하는 시간에 RF 버스트에 대해 수집된 데이터의 (퍼센트 감쇠점을 계산하면서 결정되는) 1차 미분의 비율이므로, 그 수를 발생시키기 위해 요구될 수도 있는 계산상 오버헤드가 최소이다.
다음 단계 (E-514) 에서, 알고리즘은 부유 전압 전위를 결정할 수 있다. 부유 전압 전위는 수집된 전압 데이터에 기초하여 결정되므로, 부유 전압 전위는 단계 (E-504 ~ E-512) 에서 계산된 바와 같이 먼저 그 값을 결정할 필요 없이 결정될 수도 있다. 당업자는 부유 전압 전위가, 외부 커패시터가 완전히 방전된 이후에 프로브가 부유하는 전기적 전위임을 인지한다. 통상적으로, 부유 전압 전위는 다음 RF 버스트 바로 전에 발생하는 신호를 관찰함으로써 결정될 수도 있다. 그러나, 왜곡을 유발하는 폴리머 축적의 가능성으로 인하여, 오류 데이터 (즉, 노이즈) 가 수집될 수도 있는데; 이로써 수집기간 종단을 향해 수집되는 전압 값을 평균함으로써 부유 전압 전위가 계산될 수 있다. 일 실시형태에서, 부유 전압 전위는, 도 9b 에 나타낸 바와 같이, 데이터 포인트 (F2-652) (전압이 먼저 그 부유 전위에 도달하는 데이터 포인트) 에서 데이터 포인트 (F2-654) (다음 RF 버스트의 바로 직전의 데이터 포인트) 까지 계산될 수도 있다. 다른 실시형태에서, 부유 전압 전위는, 도 9b 에 나타낸 바와 같이, 데이터 포인트 (F2-652) 와 데이터 포인트 (F2-654) 사이에 위치한 윈도우 (F2-656) 내의 전압 값에 기초할 수도 있다. 일 실시 형태에서, 윈도우 (F2-656) 는, 윈도우가 이전 펄스가 99 퍼센트 초과하여 감쇠되기 전에 시작하고 다음 펄스가 시작하는 때 종료하는 것만큼 긴, 임의의 크기일 수 있다. 일 실시 형태에서, 부유 전압 전위는 낮은 표준편차 (오차) 를 지닌 평균값을 제공하는 윈도우로부터 결정될 수 있다.
상기로부터 알 수 있는 바와 같이, 적정 범위와 시드 값을 결정하는 방법은 전류, 전압 및/또는 전류-전압 (I-V) 곡선에서 발생할 수도 있는 예외를 설명한다. 일 예로, 폴리머 축적은 RF 버스트의 종단에서 발생할 수도 있다. 그러나, 전술한 알고리즘을 적용함으로써, 적정 범위 및 시드 값은 처리 동안 발생할 수도 있는 예상외의 가공물에 대해 영향받지 않는다.
일단 적정 범위가 결정되고 시드 값이 계산되면, 다음 단계 (E-516) 에서, 전류 값은 전압 값에 대해 플로팅될 수도 있고 곡선-핏팅은 도 9d 의 그래프 (F4-680) 를 생성하는데 적용될 수도 있다. 일 예로, Levenberg-Marquardt 알고리즘과 같은 비선형 곡선 핏팅은 곡선-핏팅을 수행하기 위해서 적용될 수도 있다. 곡선-핏팅 그래프를 생성하고 하기 식 2와 같은 수학적 모델에 시드 값을 적용함으로써, 플라즈마를 특성화하는데 채용될 수도 있는 4개의 파라미터들이 결정될 수 있다.
Figure pct00002
파라미터들 정의
파라미터들 변수명
I 전류
I0 이온 포화
S 기울기
V 전압
Vf 부유 전압 전위
Te 전자 온도
본 발명의 하나 이상의 실시형태들로부터 알 수 있는 바와 같이, 플라즈마 처리 동안 플라즈마를 특성화하는 자동화된 방법이 제공된다. 적정 범위와 일 세트의 시드 값을 결정함으로써, 단일 RF 버스트 이후에 보통 수집되는 수천 또는 수백만의 데이터 포인트들을 처리할 필요 없이 플라즈마 특성화가 이루어질 수도 있다. 자동화된 방법은 이전의 지루하고 수작업으로 이루어진 과정을 신속하고 효율적으로 수행될 수도 있는 자동화된 작업으로 변환시킨다. 수 분 (또는 심지어 수 시간) 에서 수 밀리 초 (ms) 로 현저히 단축된 데이터 분석에 의해, 플라즈마 특성화는 사후 제조 공정 대신 플라즈마 처리 동안 수행될 수도 있다. 즉, 적정 데이터는 현 플라즈마 환경에 대한 직관을 제공할 수도 있고, 이로써 레시피 및/또는 툴 조정 (tool adjustment) 이 일어나게 하고 낭비가 최소화되게 한다.
본 발명이 여러가지 바람직한 실시 형태들에 의해 설명었지만, 본 발명의 범위 내에 포함되는 변형물, 치환물, 및 등가물이 존재한다. 또한, 본 발명의 방법들과 장치들을 구현하는 많은 대안의 방법들이 존재한다는 것 또한 주목해야 한다. 다양한 예가 본 명세서에서 제공되지만, 이러한 예들은 예시적인 것이고 본 발명에 대해 한정하려는 것은 아니다.
또한, 명칭과 개요는 본 명세서에서 편의상 제공되는 것이고 본 명세서의 청구범위를 해석하는데 사용되어서는 안된다. 더욱이, 요약서는 매우 축약된 형태로 기록되고, 편의를 위해 본 명세서에 제공되므로, 청구범위에 표현된 발명 전체를 해석하거나 또는 한정하는데 채용되어서는 안된다. "세트 (set)" 라는 용어가 본 명세서에서 채용된다면, 이러한 용어는 0, 1, 또는 1 초과의 멤버를 커버하는, 그 통상적으로 이해되는 수학적 의미를 가지는 것으로 의도된다. 또한, 하기의 첨부된 청구범위는 본 발명의 진정한 사상 및 범위 내에 있는 모든 변형물, 치환물, 및 등가물을 포함하는 것으로 해석되도록 의도된다.

Claims (20)

  1. 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 방법으로서,
    상기 처리 챔버 내에서 플라즈마를 생성하기 위해 스트라이크 단계를 실행하는 단계로서, 상기 스트라이크 단계는 상기 처리 챔버 내에 실질적으로 높은 가스압을 인가하는 단계, 및 상기 처리 챔버 내에서 낮은 무선 주파수 (RF) 전력을 유지하는 단계를 포함하는, 상기 스트라이크 단계를 실행하는 단계;
    상기 스트라이크 단계 동안 특성 파라미터 측정값의 세트를 수집하기 위해 프로브 헤드를 채용하는 단계로서, 상기 프로브 헤드는 상기 처리 챔버의 표면 상에 있고, 상기 처리 챔버의 표면은 기판 표면과 근접 (close proximity) 이내에 있는, 상기 프로브 헤드를 채용하는 단계; 및
    상기 특성 파라미터 측정값의 세트와 미리 정의된 범위를 비교하는 단계로서, 상기 특성 파라미터 측정값의 세트가 상기 미리 정의된 범위 내에 있다면, 상기 안정화된 플라즈마가 존재하는, 상기 특성 파라미터 측정값의 세트와 상기 미리 정의된 범위를 비교하는 단계를 포함하는, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 방법.
  2. 제 1 항에 있어서,
    상기 프로브 헤드는 용량 결합형 정전 (CCE, Capacitively-coupled electrostatic) 프로브인, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 방법.
  3. 제 2 항에 있어서,
    상기 프로브 헤드는 소형 디바이스이고,
    상기 프로브 헤드의 플라즈마-대향 표면은 상기 처리 챔버의 다른 플라즈마-대향 컴포넌트들과 유사한 재료로 형성되는, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 방법.
  4. 제 1 항에 있어서,
    상기 특성 파라미터 측정값의 세트는 이온 플럭스 측정값의 세트인, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 방법.
  5. 제 1 항에 있어서,
    상기 특성 파라미터 측정값의 세트는 전자 온도 측정값의 세트인, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 방법.
  6. 제 1 항에 있어서,
    상기 특성 파라미터 측정값의 세트는 부유 전위 측정값의 세트인, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 방법.
  7. 제 1 항에 있어서,
    상기 특성 파라미터 측정값의 세트가 상기 미리 정의된 범위 내에 있지 않다면, 상기 플라즈마는 안정화되지 않고 개선 (corrective) 동작들이 적용되는, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 방법.
  8. 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 장치로서,
    상기 처리 챔버 내의 하부 전극 상에 배치된 기판;
    상기 처리 챔버 내에서 낮은 무선 주파수 (RF) 전력으로 인가되는 RF 전원;
    가스 전달 시스템으로서, 상기 처리 챔버 내부로 가스가 전달되어 상기 RF 전력과 상호작용하여 플라즈마를 생성하는, 상기 가스 전달 시스템;
    상기 처리 챔버 내에서 실질적으로 높은 힘으로 가해지는 압력 모듈;
    상기 처리 챔버의 표면에 배치되고 플라즈마-대향 센서를 포함하는 프로브 장치로서, 상기 처리 챔버의 표면은 상기 기판의 표면과 근접 이내에 있고, 상기 프로브 장치는 스트라이크 단계 동안 특성 파라미터 측정값의 세트를 수집하도록 적어도 구성되는, 상기 프로브 장치; 및
    상기 특성 파라미터 측정값의 세트와 미리 정의된 범위를 비교하도록 구성된 검출 모듈로서, 상기 특성 파라미터 측정값의 세트가 상기 미리 정의된 범위 내에 있다면, 상기 안정화된 플라즈마가 존재하는, 상기 검출 모듈을 포함하는, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 장치.
  9. 제 8 항에 있어서,
    상기 플라즈마-대향 센서는 용량 결합형 정전 (CCE) 프로브 헤드인, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 장치.
  10. 제 9 항에 있어서,
    상기 플라즈마-대향 센서는 소형 디바이스이고,
    상기 플라즈마-대향 센서의 플라즈마-대향 표면은 상기 처리 챔버의 다른 플라즈마-대향 컴포넌트들과 유사한 재료로 형성되는, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 장치.
  11. 제 8 항에 있어서,
    상기 특성 파라미터 측정값의 세트는 이온 플럭스 측정값의 세트인, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 장치.
  12. 제 8 항에 있어서,
    상기 특성 파라미터 측정값의 세트는 전자 온도 측정값의 세트인, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 장치.
  13. 제 8 항에 있어서,
    상기 특성 파라미터 측정값의 세트는 부유 전위 측정값의 세트인, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 장치.
  14. 제 8 항에 있어서,
    상기 검출 모듈은 소프트웨어 알고리즘인, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 장치.
  15. 제 8 항에 있어서,
    상기 검출 모듈이 상기 안정화된 플라즈마를 결정할 수 없다면, 개선 동작들이 적용되는, 플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하는 장치.
  16. 컴퓨터 판독가능 코드를 포함하는 프로그램 저장 매체를 포함하는 제조물로서,
    상기 컴퓨터 판독가능 코드는,
    플라즈마 처리 시스템의 처리 챔버 내에서 안정화된 플라즈마를 식별하기 위해 구성되며,
    상기 처리 챔버 내에서 플라즈마를 생성하기 위해 스트라이크 단계를 실행하는 코드로서, 상기 스트라이크 단계는 상기 처리 챔버 내에서 실질적으로 높은 가스압을 인가하기 위한 코드, 및 상기 처리 챔버 내에서 낮은 무선 주파수 (RF) 전력을 유지하기 위한 코드를 포함하는, 상기 스트라이크 단계를 실행하는 코드;
    상기 스트라이크 단계 동안 프로브 헤드를 사용함으로써 특성 파라미터 측정값의 세트를 수집하는 코드로서, 상기 프로브 헤드는 상기 처리 챔버의 표면 상에 있고, 상기 처리 챔버의 표면은 기판 표면과 근접 이내에 있는, 상기 특성 파라미터 측정값의 세트를 수집하는 코드; 및
    상기 특성 파라미터 측정값의 세트와 미리 정의된 범위를 비교하는 코드로서, 상기 특성 파라미터 측정값의 세트가 상기 미리 정의된 범위 내에 있다면, 상기 안정화된 플라즈마가 존재하는, 상기 특성 파라미터 측정값의 세트와 상기 미리 정의된 범위를 비교하는 코드를 포함하는, 컴퓨터 판독가능 코드를 포함하는 프로그램 저장 매체를 포함하는 제조물.
  17. 제 16 항에 있어서,
    상기 프로브 헤드는 용량 결합형 정전 (CCE) 프로브인, 컴퓨터 판독가능 코드를 포함하는 프로그램 저장 매체를 포함하는 제조물.
  18. 제 16 항에 있어서,
    상기 특성 파라미터 측정값의 세트는 이온 플럭스 측정값의 세트, 전자 온도 측정값의 세트, 및 부유 전위 측정값의 세트 중 하나인, 컴퓨터 판독가능 코드를 포함하는 프로그램 저장 매체를 포함하는 제조물.
  19. 제 16 항에 있어서,
    상기 특성 파라미터 측정값의 세트가 상기 미리 정의된 범위 내에 있지 않다면, 상기 플라즈마는 안정화되지 않고 개선 동작들이 적용되는, 컴퓨터 판독가능 코드를 포함하는 프로그램 저장 매체를 포함하는 제조물.
  20. 제 16 항에 있어서,
    상기 특성 파라미터 측정값의 세트와 상기 미리 정의된 범위를 비교하는 코드는, 검출 모듈에 의해 수행되는, 컴퓨터 판독가능 코드를 포함하는 프로그램 저장 매체를 포함하는 제조물.
KR1020117000347A 2008-07-07 2009-07-07 플라즈마 처리 챔버에서의 스트라이크 단계를 검출하기 위한 용량 결합형 정전 (cce) 프로브 장치 및 그 방법 KR20110039239A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7873908P 2008-07-07 2008-07-07
US61/078,739 2008-07-07

Publications (1)

Publication Number Publication Date
KR20110039239A true KR20110039239A (ko) 2011-04-15

Family

ID=41504145

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117000347A KR20110039239A (ko) 2008-07-07 2009-07-07 플라즈마 처리 챔버에서의 스트라이크 단계를 검출하기 위한 용량 결합형 정전 (cce) 프로브 장치 및 그 방법

Country Status (6)

Country Link
US (1) US8164349B2 (ko)
JP (1) JP5427888B2 (ko)
KR (1) KR20110039239A (ko)
CN (2) CN102084473B (ko)
TW (1) TWI467623B (ko)
WO (1) WO2010005930A2 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
CN102084471B (zh) 2008-07-07 2012-11-28 朗姆研究公司 用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(cce)探针装置
JP5661622B2 (ja) * 2008-07-07 2015-01-28 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置
JP5265770B2 (ja) * 2008-07-07 2013-08-14 ラム リサーチ コーポレーション プラズマ処理チャンバ内のデチャックを検出するための容量結合静電(cce)プローブ構成、それに関連する方法、及び、その方法を実行するコンピュータ可読コードを格納するプログラム格納媒体
WO2010005934A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Rf-biased capacitively-coupled electrostatic (rfb-cce) probe arrangement for characterizing a film in a plasma processing chamber
KR101606734B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 인시츄 아킹 이벤트들을 검출하기 위한 패시브 용량성-커플링된 정전식 (cce) 프로브 장치
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
JP6195528B2 (ja) * 2014-02-19 2017-09-13 東京エレクトロン株式会社 プラズマ処理装置及びその運転方法
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
WO2019244734A1 (ja) * 2018-06-22 2019-12-26 東京エレクトロン株式会社 制御方法及びプラズマ処理装置

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2006A (en) * 1841-03-16 Clamp for crimping leather
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US2002A (en) * 1841-03-12 Tor and planter for plowing
US2007A (en) * 1841-03-16 Improvement in the mode of harvesting grain
US2005A (en) * 1841-03-16 Improvement in the manner of constructing molds for casting butt-hinges
US2008A (en) * 1841-03-18 Gas-lamp eok conducting gas pkom ah elevated buhner to one below it
US1000000A (en) * 1910-04-25 1911-08-08 Francis H Holton Vehicle-tire.
US4595487A (en) 1985-03-18 1986-06-17 Kennecott Corporation Sensing probe holder system
US5473162A (en) 1987-10-26 1995-12-05 Baylor University Infrared emission detection of a gas
KR0129663B1 (ko) 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4982067A (en) 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
DE3914065A1 (de) 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
JPH04186167A (ja) * 1990-11-21 1992-07-02 Mitsubishi Electric Corp 半導体加速度センサー及びその製造方法
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JPH0737817A (ja) 1993-06-28 1995-02-07 Sony Corp プラズマ計測用プローブ及びこれを用いたプラズマ計測方法
US5779925A (en) * 1994-10-14 1998-07-14 Fujitsu Limited Plasma processing with less damage
FR2738984B1 (fr) * 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
US6345589B1 (en) 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
US6024831A (en) 1997-08-20 2000-02-15 Vanguard International Semiconductor Corporation Method and apparatus for monitoring plasma chamber condition by observing plasma stability
JPH1161456A (ja) * 1997-08-26 1999-03-05 Nec Corp ドライエッチング方法およびその装置
JPH11354509A (ja) 1998-04-07 1999-12-24 Seiko Epson Corp プラズマエッチングの終点検出方法及びプラズマエッチング装置
JP2000003909A (ja) 1998-06-15 2000-01-07 Kishimoto Sangyo Co Ltd 半導体デバイス用絶縁膜および半導体デバイス
JP2000031072A (ja) 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
JP2001144071A (ja) 1999-11-10 2001-05-25 Toshiba Corp プラズマ処理方法及びその装置
TW483037B (en) 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
US6592817B1 (en) * 2000-03-31 2003-07-15 Applied Materials, Inc. Monitoring an effluent from a chamber
JP3968211B2 (ja) 2000-08-31 2007-08-29 株式会社日立製作所 微弱磁場計測デュワー
US6833710B2 (en) 2000-10-27 2004-12-21 Axcelis Technologies, Inc. Probe assembly for detecting an ion in a plasma generated in an ion source
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
US6603538B1 (en) * 2000-11-21 2003-08-05 Applied Materials, Inc. Method and apparatus employing optical emission spectroscopy to detect a fault in process conditions of a semiconductor processing system
JP4128339B2 (ja) 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
US6554954B2 (en) 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US7374636B2 (en) 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US7093560B2 (en) 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US20030210510A1 (en) 2002-05-07 2003-11-13 Hann Thomas C. Dynamic dechucking
US20030213559A1 (en) * 2002-05-20 2003-11-20 Applied Science And Technology, Inc. Stabilization of electronegative plasmas with feedback control of RF generator systems
JP2005527983A (ja) 2002-05-29 2005-09-15 東京エレクトロン株式会社 データハンドリング、ストレージ及び操作のための方法とシステム
US6894474B2 (en) 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
KR20040024720A (ko) * 2002-09-16 2004-03-22 삼성전자주식회사 건식 식각 장치의 플라즈마 감지 시스템
US7452824B2 (en) 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US6939726B2 (en) 2003-08-04 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Via array monitor and method of monitoring induced electrical charging
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4364667B2 (ja) 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
US20050212450A1 (en) 2004-03-16 2005-09-29 Scientific Systems Research Limited Method and system for detecting electrical arcing in a plasma process powered by an AC source
US7334477B1 (en) 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US7578301B2 (en) * 2005-03-28 2009-08-25 Lam Research Corporation Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
US7319316B2 (en) 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
KR20070035346A (ko) 2005-09-27 2007-03-30 삼성전자주식회사 플라즈마 감지 시스템이 구비된 플라즈마 처리장치
US7479207B2 (en) 2006-03-15 2009-01-20 Lam Research Corporation Adjustable height PIF probe
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
JP4754419B2 (ja) * 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム
KR20080048310A (ko) * 2006-11-28 2008-06-02 삼성전자주식회사 반도체 소자 제조용 플라즈마 장치
US20090007642A1 (en) 2007-07-05 2009-01-08 Baxter International Inc. Dialysis fluid measurement method and apparatus using conductive contacts
US8849585B2 (en) 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
WO2010005934A2 (en) 2008-07-07 2010-01-14 Lam Research Corporation Rf-biased capacitively-coupled electrostatic (rfb-cce) probe arrangement for characterizing a film in a plasma processing chamber
JP5661622B2 (ja) 2008-07-07 2015-01-28 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置
KR101606734B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 인시츄 아킹 이벤트들을 검출하기 위한 패시브 용량성-커플링된 정전식 (cce) 프로브 장치
JP5265770B2 (ja) 2008-07-07 2013-08-14 ラム リサーチ コーポレーション プラズマ処理チャンバ内のデチャックを検出するための容量結合静電(cce)プローブ構成、それに関連する方法、及び、その方法を実行するコンピュータ可読コードを格納するプログラム格納媒体
CN102084471B (zh) 2008-07-07 2012-11-28 朗姆研究公司 用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(cce)探针装置

Also Published As

Publication number Publication date
WO2010005930A2 (en) 2010-01-14
CN104320899A (zh) 2015-01-28
US20100006417A1 (en) 2010-01-14
TW201003717A (en) 2010-01-16
JP2011527521A (ja) 2011-10-27
CN102084473B (zh) 2014-10-22
CN102084473A (zh) 2011-06-01
WO2010005930A3 (en) 2010-04-22
TWI467623B (zh) 2015-01-01
US8164349B2 (en) 2012-04-24
JP5427888B2 (ja) 2014-02-26

Similar Documents

Publication Publication Date Title
US8780522B2 (en) Capacitively-coupled electrostatic (CCE) probe arrangement for detecting dechucking in a plasma processing chamber and methods thereof
KR20110039239A (ko) 플라즈마 처리 챔버에서의 스트라이크 단계를 검출하기 위한 용량 결합형 정전 (cce) 프로브 장치 및 그 방법
KR101606736B1 (ko) 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
US9129779B2 (en) Processing system for detecting in-situ arcing events during substrate processing
KR20110046437A (ko) 플라즈마 처리 챔버 내의 막을 특성화하기 위한 rf 바이어스된 용량-결합형 정전 프로브 장치
TWI472777B (zh) 自動描繪電漿之特性的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right