EP1269529B1 - Method for improving uniformity and reducing etch rate variation of etching polysilicon - Google Patents

Method for improving uniformity and reducing etch rate variation of etching polysilicon Download PDF

Info

Publication number
EP1269529B1
EP1269529B1 EP01920490A EP01920490A EP1269529B1 EP 1269529 B1 EP1269529 B1 EP 1269529B1 EP 01920490 A EP01920490 A EP 01920490A EP 01920490 A EP01920490 A EP 01920490A EP 1269529 B1 EP1269529 B1 EP 1269529B1
Authority
EP
European Patent Office
Prior art keywords
chamber
substrate
etching
plasma
edge ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
EP01920490A
Other languages
German (de)
French (fr)
Other versions
EP1269529A2 (en
Inventor
Tuqiang Ni
Kenji Takeshita
Tom Choi
Frank Y. Lin
Wenli Collison
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of EP1269529A2 publication Critical patent/EP1269529A2/en
Application granted granted Critical
Publication of EP1269529B1 publication Critical patent/EP1269529B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Definitions

  • the invention relates to a method of plasma etching polysilicon with HBr and apparatus for improving plasma etching uniformity.
  • Plasma processing systems wherein an antenna coupled to a radiofrequency (RF) source energizes gas into a plasma state within a process chamber are disclosed in U.S. Patent Nos. 4,948,458 ; 5,198,718 ; 5,241,245 ; 5,304,279 ; 5,401,350 ; and 5,571,366 .
  • the antenna is located outside the process chamber and the RF energy is supplied into the chamber through a dielectric wall or window.
  • Such processing systems can be used for a variety of semiconductor processing applications such as etching, deposition, resist stripping, etc.
  • the etching rate and/or uniformity may change during the course of etching the batch of substrates. Such variation in etch rate/uniformity is undesirable since the features etched into the substrate may fall outside acceptable product parameters.
  • Polysilicon etching techniques are disclosed in U.S. Patent Nos. 5,242,536 ; 5,314,573 ; 5,336,365 ; 5,368,684 ; and 5,763,327 .
  • the '536 patent discloses anisotropic polysilicon etching in a parallel plate plasma etcher using HBr gas along with Cl 2 and He.
  • the '573 patent discloses anisotropic polysilicon etching in a parallel plate plasma etcher using HBr gas along with HCl or Cl 2 .
  • the '365 patent discloses anisotropic polysilicon etching in an electron cyclotron resonance (ECR) plasma etcher using HBr gas along with Cl 2 in a first step and HBr and He in a second step.
  • ECR electron cyclotron resonance
  • the '684 patent discloses anisotropic polysilicon etching in a magnetron plasma etcher using HBr gas, a mixture of HBr and Cl 2 or a mixture of HBr and HCl.
  • the '327 patent discloses polysilicon etching in a plasma etcher using Cl 2 , Br 2 or HBr, He and O 2 .
  • U.S. Patent No. 6,022,809 discloses a composite shadow ring used in a plasma etch chamber, the ring including an insert which does not generate contaminating oxygen gas when bombarded by a gas plasma such as a fluorine-containing plasma.
  • the shadow ring is movably mounted in the bottom of a vacuum chamber such that a wafer can be loaded onto or off of an electrostatic chuck and plasma is generated in the chamber by a coil antenna surrounding a sidewall of the chamber.
  • the shadow ring includes an outer body portion of silicon dioxide and an inner ring-shaped insert portion of silicon.
  • the insert is intended to reduce generation of oxygen gas which can inhibit or attack polymeric sidewall passivation layers in the via openings thereby reducing tungsten plug loss near the peripheral edge of the wafer.
  • SOG spin-on-glass
  • Japanese Patent JP 10233387 discloses an HBr based plasma etching process of a silicon substrate. While there have been proposals in the prior art to improve polysilicon etch processes and while attempts have been made to prevent generation of oxygen during etching by use of pure silicon materials, there is a need in the art for improvements in etching uniformity of polysilicon.
  • the invention provides the method of claim 1.
  • the semiconductor substrate can include a layer of polysilicon which is etched with HBr during step (c) and/or the chamber is maintained at a vacuum pressure of less than 100 mTorr (1 Torr corresponds to about 133,32 Pa) during step (c).
  • an RF bias of no more than 500 watts can be applied to the substrate by the substrate support.
  • the etching gas is energized into a plasma state by a planar or non-planar antenna separated from the interior of the chamber by a dielectric member.
  • the dielectric member is at least coextensive with the substrate support and the etching gas is supplied to the chamber by at least one gas inlet in the dielectric member.
  • the invention provides an improved plasma etching method capable of providing more repeatable results when etching a batch of consecutively processed semiconductor substrates.
  • the etch rate can vary significantly depending on whether the etching is preceded by an in-situ chamber clean or seasoning of the chamber by running one or more conditioning wafers through the chamber prior to processing production wafers.
  • the etch rate following cleaning or seasoning can be made more uniform by using silicon carbide as the material of the edge ring surrounding the wafer.
  • a preferred plasma etching reactor is an inductively coupled plasma reactor such as shown in Figure 1 .
  • the apparatus includes a chamber 2, a substrate support 4, an edge ring 6 surrounding a substrate 8, a dielectric window 10, an inductively coupled plasma source 12, and an outlet 14 leading to a vacuum pump.
  • etching gas is supplied to the chamber through a gas inlet 18 in the dielectric window 10.
  • the plasma source is preferably a planar antenna such as a spiral coil supplied with RF energy from a suitable RF generator 20 and the substrate support preferably includes an electrode supplied with RF energy to apply a suitable RF bias to the substrate.
  • the edge ring 6 can be entirely of CVD SiC or a composite such as sintered SiC or other material coated with SiC. However, it is preferable for the entire surface of the edge ring exposed to the plasma in the chamber to be of silicon carbide. Further, to minimize electrical field effects, the edge ring 6 can have its upper surface located above the exposed surface of the substrate 8, e.g. the top of the edge ring can be 1-2 mm above the surface of the substrate.
  • the edge ring of silicon carbide provides etch rate stabilization during batch processing of individual semiconductor substrates. Moreover, the edge ring can be maintained free from deposition build-up due to ion bombardment produced by the RF bias. Such self cleaning is advantageous in maintaining the etch rate stabilization since deposition on the edge ring can alter H and Br recombination which causes etch rate drift.
  • the reactor can include any suitable source for generating plasma in the chamber (e,g., parallel plate, inductive coupled, ECR, magnetron, helicon, etc.) and any suitable gas supply for delivering etching gas into the chamber (e.g., a gas ring, showerhead, gas injector mounted in an opening extending through the dielectric window, etc.).
  • the vacuum processing chamber preferably includes a substrate holder providing an electrostatic clamping force to a substrate and the edge ring confines the plasma in an area above the substrate while it is He backcooled.
  • a source of energy for maintaining a high density (e.g. at least 10 9 ions/cm 3 ) plasma in the chamber such as a planar antenna powered by a suitable RF source and associated RF impedance matching circuitry can be used to inductively couple RF energy into the chamber so as to provide a high density plasma.
  • a vacuum pump preferably maintains the interior of the chamber at a desired pressure (e.g., below 50 mTorr, typically 1-20 mTorr).
  • the reactor shown in Figure 1 includes a substantially planar dielectric window 10 of uniform thickness between the antenna 12 and the interior of the processing chamber.
  • a substantially planar dielectric window 10 of uniform thickness between the antenna 12 and the interior of the processing chamber.
  • other antenna and/or window configurations can be used such as a non-planar antenna and/or a non-planar dielectric window.
  • a centrally located gas inlet 18 is provided in the dielectric window.
  • the inlet 18 can be supplied gas from one or more gas supplies 22.
  • the substrate holder can include conventional features such as a lift pin arrangement (not shown) for lifting the substrate.
  • a silicon carbide edge ring can improve HBr etch uniformity.
  • tests were carried out using edge rings of quartz, silicon nitride and alumina.
  • the silicon carbide edge ring provides a much more repeatable etch rate after chamber cleaning using fluorine-based gas chemistries or after chamber seasoning wherein conditioning wafers are processed prior to etching production wafers.
  • a diode laser was used to measure HBr dissociation percentages during polysilicon etching.
  • the tests were carried out after chamber seasoning (wherein 6 bare silicon wafers were processed prior to processing production wafers) and after waferless cleaning (WAC) of the chamber (wherein the chamber was cleaned using a fluorine-based cleaning gas while the substrate support was uncovered).
  • the plasma etch chamber used in the tests was a LAM 2300 TM etch chamber and quartz, alumina and silicon carbide were used as edge ring materials.
  • the dissociation percentages are set forth in the following table. Edge Ring Quartz Alumina Silicon Carbide After Seasoning 69.2 % 62.9% 52.0% After WAC 52.1% 50.3% 50.15
  • edge ring materials which provide a high H and Br recombination rate can be used to provide an etch rate at the outer periphery of the wafer at the same rate as at the center of the wafer.
  • the recombination rate at the edge ring is low, nonuniform etching can result since more Br is available for etching the periphery of the wafer compared to the inner portion of the wafer.
  • uniformity of polysilicon etching can be improved by increasing the recombination rate at the edge of the wafer.
  • the edge ring material is selected to increase the recombination rate and the width of the edge ring can be increased to enhance this effect.
  • a silicon carbide edge ring in accordance with the invention provides additional advantages. For instance, compared to an alumina edge ring which can be a source of aluminum contamination, an edge ring made of high purity silicon carbide such as chemically deposited SiC (CVD SiC) does not present such contamination problems. Compared to a quartz edge ring which is chemically attacked by fluorine-based cleaning gas chemistries, a silicon carbide edge ring is more chemically stable. Further, when it is desired to carry out polysilicon etching at a target etch rate after WAC or chamber seasoning, a silicon carbide edge ring provides the least affected polysilicon etch rate compared to a quartz edge ring, a silicon nitride edge ring or an alumina edge ring.
  • CVD SiC chemically deposited SiC
  • the process according to the invention can be carried out using pure HBr or HBr in combination with other gases.
  • the polysilicon etch can be carried out using HBr alone or in combination with one or more other gases during main etching and the gas chemistry can be changed during overetching.
  • suitable gas chemistries follows.
  • the main etch can be carried out using HBr and Cl 2 with or without non-etching gases such as argon, helium or nitrogen.
  • the Cl 2 could be replaced with another Cl source such as HCl.
  • the process parameters such as power to the plasma source, wafer temperature, RF bias, chamber vacuum pressure, etc. can be set in accordance with the type of plasma reactor being used.
  • the antenna power can be in the range of 200 to 1000 watts
  • the temperature of the wafer can be 10 to 100°C
  • the chamber pressure can be 5 to 50 mTorr
  • the RF bias can be less than 500 watts, etc.
  • the gas can be modified to include oxygen and helium.
  • the overetch can be carried out using HBr, Cl 2 , He and O 2 .
  • the Cl 2 can be terminated during the overetching step.
  • a polycide film comprising a lower layer of doped polysilicon and an upper layer of tungsten silicide can be etched using HBr and SF 6 and overetching can be carried out by terminating the supply of SF 6 and adjusting the flow of HBr to a desired level.
  • fluorine gases besides SF 6 can also be used, such gases including NF 3 , ClF 3 , F 2 , HF, C 2 F 6 , CHF 3 , CF 4 , CH 2 F 6 , C 3 F 8 , C 4 F 8 , or the like.
  • N 2 and/or O 2 can be added for sidewall protection and gases such as argon and/or helium can be added for sputtering, dilution or cooling effects, if desired.
  • the polysilicon etching can be preceded by a step of removing any surface oxide on the polysilicon layer.
  • a surface oxide which may have formed on a polysilicon layer during a preceding step such as stripping of a lithographic mask can be removed using a suitable gas such as CF 4 .
  • the polysilicon on a 300 mm wafer can be etched using a mixture of 50-300 sccm HBr, 20-150 sccm Cl 2 , 1-20 sccm of a He/O 2 mixture (e.g., 70%He and 30% O 2 ).
  • the Cl 2 can be terminated and in a third step to achieve overetching the power and chamber pressure can be reduced while using the Cl 2 -free HBr and He/O 2 gas mixture.
  • a tungsten silicide layer can be etched with a Cl 2 /O 2 mixture and a doped polysilicon layer beneath the tungsten silicide film can be etched with HBr alone or a HBr/O 2 mixture.
  • the plasma source power can be adjusted to provide a desired plasma density.
  • the plasma density can be adjusted on the order of 1x10 10 cm -3 .
  • lower plasma densities on the order of 1x10 9 cm -3 or higher plasma densities on the order of at least 1x10 11 cm -3 can also be used.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

An apparatus and method for consecutively processing a series of semiconductor substrates with minimal plasma etch rate variation following cleaning with fluorine-containing gas and/or seasoning of the plasma etch chamber. The method includes steps of (a) placing a semiconductor substrate on a substrate support in a plasma etching chamber, (b) maintaining a vacuum in the chamber, (c) etching an exposed surface of the substrate by supplying an etching gas to the chamber and energizing the etching gas to form a plasma in the chamber, (d) removing the substrate from the chamber; and (e) consecutively etching additional substrates in the chamber by repeating steps (a-d), the etching step being carried out by minimizing a recombination rate of H and Br on a silicon carbide edge ring surrounding the substrate at a rate sufficient to offset a rate at which Br is consumed across the substrate. The method can be carried out using pure HBr or combination of HBr with other gases.

Description

    Field of the Invention
  • The invention relates to a method of plasma etching polysilicon with HBr and apparatus for improving plasma etching uniformity.
  • Description of the Related Art
  • Plasma processing systems wherein an antenna coupled to a radiofrequency (RF) source energizes gas into a plasma state within a process chamber are disclosed in U.S. Patent Nos. 4,948,458 ; 5,198,718 ; 5,241,245 ; 5,304,279 ; 5,401,350 ; and 5,571,366 . In such systems, the antenna is located outside the process chamber and the RF energy is supplied into the chamber through a dielectric wall or window. Such processing systems can be used for a variety of semiconductor processing applications such as etching, deposition, resist stripping, etc. When such systems are used for plasma etching a batch of consecutively processed semiconductor substrates, the etching rate and/or uniformity may change during the course of etching the batch of substrates. Such variation in etch rate/uniformity is undesirable since the features etched into the substrate may fall outside acceptable product parameters.
  • Polysilicon etching techniques are disclosed in U.S. Patent Nos. 5,242,536 ; 5,314,573 ; 5,336,365 ; 5,368,684 ; and 5,763,327 . Of these, the '536 patent discloses anisotropic polysilicon etching in a parallel plate plasma etcher using HBr gas along with Cl2 and He. The '573 patent discloses anisotropic polysilicon etching in a parallel plate plasma etcher using HBr gas along with HCl or Cl2. The '365 patent discloses anisotropic polysilicon etching in an electron cyclotron resonance (ECR) plasma etcher using HBr gas along with Cl2 in a first step and HBr and He in a second step. The '684 patent discloses anisotropic polysilicon etching in a magnetron plasma etcher using HBr gas, a mixture of HBr and Cl2 or a mixture of HBr and HCl. The '327 patent discloses polysilicon etching in a plasma etcher using Cl2, Br2 or HBr, He and O2.
  • Techniques for etching polysilicon using HBr alone or in combination with other gasses are described in U.S. Patent Nos. 5,160,407 ; 5,180,464 ; 5,560,804 ; 5,591,664 ; 5,665,203 ; 5,670,018 ; 5,792,692 ; 5,801,077 ; 5,804,489 ; 5,861,343 ; 5,932,115 ; and 5,994,234 .
  • U.S. Patent No. 6,022,809 discloses a composite shadow ring used in a plasma etch chamber, the ring including an insert which does not generate contaminating oxygen gas when bombarded by a gas plasma such as a fluorine-containing plasma. The shadow ring is movably mounted in the bottom of a vacuum chamber such that a wafer can be loaded onto or off of an electrostatic chuck and plasma is generated in the chamber by a coil antenna surrounding a sidewall of the chamber. The shadow ring includes an outer body portion of silicon dioxide and an inner ring-shaped insert portion of silicon. During via etching of a spin-on-glass (SOG) layer the insert is intended to reduce generation of oxygen gas which can inhibit or attack polymeric sidewall passivation layers in the via openings thereby reducing tungsten plug loss near the peripheral edge of the wafer.
  • Japanese Patent JP 10233387 discloses an HBr based plasma etching process of a silicon substrate. While there have been proposals in the prior art to improve polysilicon etch processes and while attempts have been made to prevent generation of oxygen during etching by use of pure silicon materials, there is a need in the art for improvements in etching uniformity of polysilicon.
  • Summary of the Invention
  • The invention provides the method of claim 1.
  • According to a preferred feature of the invention, the semiconductor substrate can include a layer of polysilicon which is etched with HBr during step (c) and/or the chamber is maintained at a vacuum pressure of less than 100 mTorr (1 Torr corresponds to about 133,32 Pa) during step (c). During step (c) an RF bias of no more than 500 watts can be applied to the substrate by the substrate support.
  • In the preferred embodiment, the etching gas is energized into a plasma state by a planar or non-planar antenna separated from the interior of the chamber by a dielectric member. Preferably, the dielectric member is at least coextensive with the substrate support and the etching gas is supplied to the chamber by at least one gas inlet in the dielectric member.
  • Brief Description of the Drawings
  • The invention will be described in greater detail with reference to the accompanying drawing wherein:
    • Figure 1 shows a schematic cross sectional view of a plasma etching chamber which can be used to carry out the method of the invention.
    Detailed Description of the Preferred Embodiments
  • The invention provides an improved plasma etching method capable of providing more repeatable results when etching a batch of consecutively processed semiconductor substrates. In particular, it has been found that during the course of etching a batch of semiconductor wafers, the etch rate can vary significantly depending on whether the etching is preceded by an in-situ chamber clean or seasoning of the chamber by running one or more conditioning wafers through the chamber prior to processing production wafers. According to the invention, it has been surprisingly discovered that the etch rate following cleaning or seasoning can be made more uniform by using silicon carbide as the material of the edge ring surrounding the wafer.
  • The method of the invention can be carried out in any suitable plasma etch reactor wherein individual substrates are consecutively processed. A preferred plasma etching reactor is an inductively coupled plasma reactor such as shown in Figure 1. As shown, the apparatus includes a chamber 2, a substrate support 4, an edge ring 6 surrounding a substrate 8, a dielectric window 10, an inductively coupled plasma source 12, and an outlet 14 leading to a vacuum pump. In addition, etching gas is supplied to the chamber through a gas inlet 18 in the dielectric window 10. The plasma source is preferably a planar antenna such as a spiral coil supplied with RF energy from a suitable RF generator 20 and the substrate support preferably includes an electrode supplied with RF energy to apply a suitable RF bias to the substrate. The edge ring 6 can be entirely of CVD SiC or a composite such as sintered SiC or other material coated with SiC. However, it is preferable for the entire surface of the edge ring exposed to the plasma in the chamber to be of silicon carbide. Further, to minimize electrical field effects, the edge ring 6 can have its upper surface located above the exposed surface of the substrate 8, e.g. the top of the edge ring can be 1-2 mm above the surface of the substrate.
  • According to the invention, the edge ring of silicon carbide provides etch rate stabilization during batch processing of individual semiconductor substrates. Moreover, the edge ring can be maintained free from deposition build-up due to ion bombardment produced by the RF bias. Such self cleaning is advantageous in maintaining the etch rate stabilization since deposition on the edge ring can alter H and Br recombination which causes etch rate drift. The reactor can include any suitable source for generating plasma in the chamber (e,g., parallel plate, inductive coupled, ECR, magnetron, helicon, etc.) and any suitable gas supply for delivering etching gas into the chamber (e.g., a gas ring, showerhead, gas injector mounted in an opening extending through the dielectric window, etc.).
  • In the embodiment shown in Figure 1, the vacuum processing chamber preferably includes a substrate holder providing an electrostatic clamping force to a substrate and the edge ring confines the plasma in an area above the substrate while it is He backcooled. A source of energy for maintaining a high density (e.g. at least 109 ions/cm3) plasma in the chamber such as a planar antenna powered by a suitable RF source and associated RF impedance matching circuitry can be used to inductively couple RF energy into the chamber so as to provide a high density plasma. A vacuum pump preferably maintains the interior of the chamber at a desired pressure (e.g., below 50 mTorr, typically 1-20 mTorr).
  • The reactor shown in Figure 1 includes a substantially planar dielectric window 10 of uniform thickness between the antenna 12 and the interior of the processing chamber. However, other antenna and/or window configurations can be used such as a non-planar antenna and/or a non-planar dielectric window. Further, while any suitable gas supply arrangement can be used, in the embodiment shown a centrally located gas inlet 18 is provided in the dielectric window. The inlet 18 can be supplied gas from one or more gas supplies 22. The substrate holder can include conventional features such as a lift pin arrangement (not shown) for lifting the substrate.
  • According to the invention it has been discovered that use of a silicon carbide edge ring can improve HBr etch uniformity. In order to compare the effectiveness of a silicon carbide edge ring to other materials, tests were carried out using edge rings of quartz, silicon nitride and alumina. Compared to these other materials, the silicon carbide edge ring provides a much more repeatable etch rate after chamber cleaning using fluorine-based gas chemistries or after chamber seasoning wherein conditioning wafers are processed prior to etching production wafers. A diode laser was used to measure HBr dissociation percentages during polysilicon etching. The tests were carried out after chamber seasoning (wherein 6 bare silicon wafers were processed prior to processing production wafers) and after waferless cleaning (WAC) of the chamber (wherein the chamber was cleaned using a fluorine-based cleaning gas while the substrate support was uncovered). The plasma etch chamber used in the tests was a LAM 2300 etch chamber and quartz, alumina and silicon carbide were used as edge ring materials. The dissociation percentages are set forth in the following table.
    Edge Ring Quartz Alumina Silicon Carbide
    After Seasoning 69.2 % 62.9% 52.0%
    After WAC 52.1% 50.3% 50.15
  • During plasma etching of polysilicon with HBr, silicon combines with Br and is removed as a gas. Accordingly, it is desirable to maintain an HBr dissociation rate at the inner portion of an etched wafer at the same rate as at the outer periphery of the wafer. However, because different edge ring materials cause H and Br to recombine at different rates, edge ring materials which provide a high H and Br recombination rate can be used to provide an etch rate at the outer periphery of the wafer at the same rate as at the center of the wafer. In contrast, if the recombination rate at the edge ring is low, nonuniform etching can result since more Br is available for etching the periphery of the wafer compared to the inner portion of the wafer.
  • According to the invention, uniformity of polysilicon etching can be improved by increasing the recombination rate at the edge of the wafer. In particular, the edge ring material is selected to increase the recombination rate and the width of the edge ring can be increased to enhance this effect.
  • A silicon carbide edge ring in accordance with the invention provides additional advantages. For instance, compared to an alumina edge ring which can be a source of aluminum contamination, an edge ring made of high purity silicon carbide such as chemically deposited SiC (CVD SiC) does not present such contamination problems. Compared to a quartz edge ring which is chemically attacked by fluorine-based cleaning gas chemistries, a silicon carbide edge ring is more chemically stable. Further, when it is desired to carry out polysilicon etching at a target etch rate after WAC or chamber seasoning, a silicon carbide edge ring provides the least affected polysilicon etch rate compared to a quartz edge ring, a silicon nitride edge ring or an alumina edge ring.
  • The process according to the invention can be carried out using pure HBr or HBr in combination with other gases. For instance, the polysilicon etch can be carried out using HBr alone or in combination with one or more other gases during main etching and the gas chemistry can be changed during overetching. An example of suitable gas chemistries follows.
  • According to a first embodiment of etching polysilicon such as masked silicide/polysilicon layers, the main etch can be carried out using HBr and Cl2 with or without non-etching gases such as argon, helium or nitrogen. If desired, the Cl2 could be replaced with another Cl source such as HCl. The process parameters such as power to the plasma source, wafer temperature, RF bias, chamber vacuum pressure, etc. can be set in accordance with the type of plasma reactor being used. For an inductively coupled plasma source, the antenna power can be in the range of 200 to 1000 watts, the temperature of the wafer can be 10 to 100°C, the chamber pressure can be 5 to 50 mTorr, the RF bias can be less than 500 watts, etc. In the overetching step, the gas can be modified to include oxygen and helium. For instance, the overetch can be carried out using HBr, Cl2, He and O2. Alternatively, the Cl2 can be terminated during the overetching step.
  • According to a second embodiment, a polycide film comprising a lower layer of doped polysilicon and an upper layer of tungsten silicide can be etched using HBr and SF6 and overetching can be carried out by terminating the supply of SF6 and adjusting the flow of HBr to a desired level. Other fluorine gases besides SF6 can also be used, such gases including NF3, ClF3, F2, HF, C2F6, CHF3, CF4, CH2F6, C3F8, C4F8, or the like. Further, N2 and/or O2 can be added for sidewall protection and gases such as argon and/or helium can be added for sputtering, dilution or cooling effects, if desired.
  • According to a third embodiment, the polysilicon etching can be preceded by a step of removing any surface oxide on the polysilicon layer. For instance, a surface oxide which may have formed on a polysilicon layer during a preceding step such as stripping of a lithographic mask can be removed using a suitable gas such as CF4. Then, the polysilicon on a 300 mm wafer can be etched using a mixture of 50-300 sccm HBr, 20-150 sccm Cl2, 1-20 sccm of a He/O2 mixture (e.g., 70%He and 30% O2). In a following step the Cl2 can be terminated and in a third step to achieve overetching the power and chamber pressure can be reduced while using the Cl2 -free HBr and He/O2 gas mixture.
  • In a fourth embodiment, a tungsten silicide layer can be etched with a Cl2/O2 mixture and a doped polysilicon layer beneath the tungsten silicide film can be etched with HBr alone or a HBr/O2 mixture. During the etching steps, the plasma source power can be adjusted to provide a desired plasma density. For instance, the plasma density can be adjusted on the order of 1x1010 cm-3. However, lower plasma densities on the order of 1x109 cm-3 or higher plasma densities on the order of at least 1x1011 cm-3 can also be used.
  • The foregoing has described the principles, preferred embodiments and modes of operation of the present application. However, the invention should not be construed as being limited to the particular embodiments discussed. Thus, the above-described embodiments should be regarded as illustrative rather than restrictive, and it should be appreciated that variations may be made in those embodiments by workers skilled in the art without department from the scope of the present invention as defined by the following claims.

Claims (8)

  1. A method of consecutively processing individual semiconductor substrates with minimal plasma etch rate variation following cleaning with a fluorine-containing gas and/or seasoning of the plasma etching chamber using a plasma etching apparatus comprising a plasma etching chamber having a substrate support in an interior thereof, the chamber including a dielectric member facing the substrate support;
    a gas supply capable of supplying an HBr-containing etching gas into the interior of the chamber;
    an antenna separated from the interior of the chamber by the dielectric member, the antenna passing RF energy through the dielectric member and energizing the HBr-containing etching gas into a plasma state;
    a vacuum pump evacuating the interior of the chamber;
    a silicon carbide edge ring around a periphery of the substrate support; and
    the substrate support being capable of locating a substrate including a polysilicon layer to be etched, such that the silicon carbide edge ring is separated from the substrate by a clearance gap which is just large enough to allow the substrate to be lowered onto and raised off of the substrate support without contacting the inner periphery of the silicon carbide edge ring,
    wherein the silicon carbide edge ring has a width in a plane parallel to the polysilicon layer, the width being at least 20% of a maximum dimension of the substrate in the plane, the method comprising the steps of:
    (a) placing a semiconductor substrate on the substrate support in the plasma etching chamber;
    (b) maintaining the chamber under vacuum pressure;
    (c) etching an exposed polysilicon surface of the substrate by supplying the HBr-containing etching gas to the chamber and energizing the etching gas to form a plasma in the chamber;
    (d) removing the substrate from the chamber; and
    (e) consecutively etching additional substrates in the chamber by repeating steps (a-d), the etching step being carried out by providing a recombination rate of H and Br on the silicon carbide edge ring surrounding the substrate sufficient to offset a rate at which Br is consumed across the substrate.
  2. The method according to Claim 1, wherein the semiconductor substrate is a silicon wafer having a layer of polysilicon which is etched with pure HBr during step (c).
  3. The method according to Claim 1, wherein the chamber is maintained at a vacuum pressure of less than 13.33 Pa (100 mTorr) during step (c).
  4. The method according to Claim 1, wherein during step (c) an RF bias is applied to the substrate by the substrate support such that the RF bias minimizes deposition build-up on the silicon carbide edge ring.
  5. The method according to Claim 1, wherein the etching step is preceded by a step of in-situ cleaning and/or seasoning the chamber.
  6. The method according to Claim 1, wherein an upper surface of the silicon carbide edge ring is located above the exposed polysilicon surface.
  7. The method according to Claim 1, wherein the etching gas is energized into a plasma state by a planar or non-planar antenna separated from the interior of the chamber by a dielectric member, and the dielectric member is at least coextensive with the substrate support.
  8. The method according to Claim 7, wherein the etching gas is supplied to the interior of the chamber through at least one inlet in the dielectric member.
EP01920490A 2000-03-31 2001-03-16 Method for improving uniformity and reducing etch rate variation of etching polysilicon Expired - Lifetime EP1269529B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/540,549 US6514378B1 (en) 2000-03-31 2000-03-31 Method for improving uniformity and reducing etch rate variation of etching polysilicon
US540549 2000-03-31
PCT/US2001/008618 WO2001075958A2 (en) 2000-03-31 2001-03-16 Method for improving uniformity and reducing etch rate variation of etching polysilicon

Publications (2)

Publication Number Publication Date
EP1269529A2 EP1269529A2 (en) 2003-01-02
EP1269529B1 true EP1269529B1 (en) 2010-07-28

Family

ID=24155925

Family Applications (1)

Application Number Title Priority Date Filing Date
EP01920490A Expired - Lifetime EP1269529B1 (en) 2000-03-31 2001-03-16 Method for improving uniformity and reducing etch rate variation of etching polysilicon

Country Status (9)

Country Link
US (1) US6514378B1 (en)
EP (1) EP1269529B1 (en)
JP (1) JP4907827B2 (en)
CN (1) CN1230879C (en)
AT (1) ATE475985T1 (en)
AU (1) AU2001247537A1 (en)
DE (1) DE60142685D1 (en)
TW (1) TWI249205B (en)
WO (1) WO2001075958A2 (en)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
US20040053506A1 (en) * 2002-07-19 2004-03-18 Yao-Sheng Lee High temperature anisotropic etching of multi-layer structures
US7098141B1 (en) 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US7151277B2 (en) * 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
DE10358025A1 (en) * 2003-12-11 2005-07-21 Infineon Technologies Ag Etching of tungsten involves using a gas mixture that contains nitrogen trifluoride, hydrogen bromide, and oxygen
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7208420B1 (en) 2004-07-22 2007-04-24 Lam Research Corporation Method for selectively etching an aluminum containing layer
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP4540729B2 (en) * 2008-03-13 2010-09-08 積水化学工業株式会社 Method and apparatus for etching silicon-containing film
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
US10090181B2 (en) 2011-03-01 2018-10-02 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
CN102315112B (en) * 2011-09-28 2016-03-09 上海华虹宏力半导体制造有限公司 The lithographic method of stacked metal gate
CN102355792B (en) * 2011-10-19 2016-04-06 中微半导体设备(上海)有限公司 Improve the inductively coupled plasma device of plasma uniformity and efficiency
JP6545460B2 (en) 2012-02-29 2019-07-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Abatement and stripping process chamber in load lock configuration
US20160056059A1 (en) * 2014-08-22 2016-02-25 Applied Materials, Inc. Component for semiconductor process chamber having surface treatment to reduce particle emission
CN105676588A (en) * 2014-11-18 2016-06-15 北京北方微电子基地设备工艺研究中心有限责任公司 Substrate etching method
CN109119373A (en) * 2017-06-23 2019-01-01 北京北方华创微电子装备有限公司 pressure ring assembly and reaction chamber
CN108998834A (en) * 2018-07-26 2018-12-14 芜湖凯兴汽车电子有限公司 A kind of sensor monocrystalline silicon etching device
CN118176628A (en) * 2021-10-29 2024-06-11 朗姆研究公司 Phased array antenna and method for controlling process substrate uniformity
CN118099922A (en) * 2024-04-28 2024-05-28 南京镭芯光电有限公司 Method for etching compound semiconductor multilayer structure by inductively coupled plasma

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10233387A (en) * 1996-12-17 1998-09-02 Denso Corp Dry etching of semiconductor

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8905073D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
DE69126149T2 (en) 1990-01-22 1998-01-02 Sony Corp Dry etching process
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5242536A (en) 1990-12-20 1993-09-07 Lsi Logic Corporation Anisotropic polysilicon etching process
US5160407A (en) 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5560804A (en) 1991-03-19 1996-10-01 Tokyo Electron Limited Etching method for silicon containing layer
US5314573A (en) 1991-05-20 1994-05-24 Tokyo Electron Limited Dry etching polysilicon using a bromine-containing gas
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
JP3260168B2 (en) * 1991-07-23 2002-02-25 東京エレクトロン株式会社 Plasma processing equipment
US5411624A (en) * 1991-07-23 1995-05-02 Tokyo Electron Limited Magnetron plasma processing apparatus
JP3179872B2 (en) 1991-12-19 2001-06-25 東京エレクトロン株式会社 Etching method
JP2574094B2 (en) 1992-02-27 1997-01-22 株式会社日本製鋼所 Etching method
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JP3257741B2 (en) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 Plasma etching apparatus and method
JP3124204B2 (en) 1994-02-28 2001-01-15 株式会社東芝 Plasma processing equipment
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5670018A (en) 1995-04-27 1997-09-23 Siemens Aktiengesellschaft Isotropic silicon etch process that is highly selective to tungsten
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
US5763327A (en) 1995-11-08 1998-06-09 Advanced Micro Devices, Inc. Integrated arc and polysilicon etching process
US5591664A (en) 1996-03-20 1997-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Method of increasing the capacitance area in DRAM stacked capacitors using a simplified process
US5801077A (en) 1996-04-22 1998-09-01 Chartered Semiconductor Manufacturing Ltd. Method of making sidewall polymer on polycide gate for LDD structure
US5932115A (en) 1996-05-03 1999-08-03 Vanguard International Semiconductor Corporation Method of manufacturing a crown shape capacitor
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
JPH1064883A (en) * 1996-07-04 1998-03-06 Applied Materials Inc Plasma device
US5804489A (en) 1996-07-12 1998-09-08 Vanguard International Semiconductor Corporation Method of manufacturing a crown shape capacitor in semiconductor memory using a single step etching
US5884412A (en) * 1996-07-24 1999-03-23 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5861343A (en) 1996-08-07 1999-01-19 Vanguard International Semiconductor Corporation Method for fabricating an aligned opening using a photoresist polymer as a side wall spacer
US5920797A (en) * 1996-12-03 1999-07-06 Applied Materials, Inc. Method for gaseous substrate support
JP3165047B2 (en) 1996-12-12 2001-05-14 日本電気株式会社 Dry etching method for polycide film
US5792692A (en) 1997-08-18 1998-08-11 Chartered Semiconductor Manufacturing, Ltd. Method of fabricating a twin hammer tree shaped capacitor structure for a dram device
US6074488A (en) 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US6200388B1 (en) * 1998-02-11 2001-03-13 Applied Materials, Inc. Substrate support for a thermal processing chamber
JP4151749B2 (en) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 Plasma processing apparatus and method
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10233387A (en) * 1996-12-17 1998-09-02 Denso Corp Dry etching of semiconductor
US6090718A (en) * 1996-12-17 2000-07-18 Denso Corporation Dry etching method for semiconductor substrate

Also Published As

Publication number Publication date
AU2001247537A1 (en) 2001-10-15
JP2003529931A (en) 2003-10-07
CN1230879C (en) 2005-12-07
ATE475985T1 (en) 2010-08-15
WO2001075958A2 (en) 2001-10-11
US6514378B1 (en) 2003-02-04
EP1269529A2 (en) 2003-01-02
JP4907827B2 (en) 2012-04-04
DE60142685D1 (en) 2010-09-09
TWI249205B (en) 2006-02-11
CN1426597A (en) 2003-06-25
WO2001075958A3 (en) 2002-01-03

Similar Documents

Publication Publication Date Title
EP1269529B1 (en) Method for improving uniformity and reducing etch rate variation of etching polysilicon
TWI417957B (en) Edge ring assembly with dielectric spacer ring
EP1827871B1 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US8128831B2 (en) Plasma etching method and computer-readable storage medium
US6380095B1 (en) Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion
US6660127B2 (en) Apparatus for plasma etching at a constant etch rate
EP1070342B1 (en) Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
EP0553961A2 (en) Reactive ion etch process including hydrogen radicals
US20060021704A1 (en) Method and apparatus for etching Si
US7122125B2 (en) Controlled polymerization on plasma reactor wall
US6486069B1 (en) Cobalt silicide etch process and apparatus
US20040127033A1 (en) Plasma processing device and plasma processing method
US6897154B2 (en) Selective etching of low-k dielectrics
US20040222188A1 (en) Method of cleaning a deposition chamber and apparatus for depositing a metal on a substrate
US7189653B2 (en) Etching method and etching apparatus
US20010049196A1 (en) Apparatus for improving etch uniformity and methods therefor
JP2007184611A (en) Plasma processing device and plasma processing method
EP1198822B1 (en) New methodologies to reduce process sensitivity to the chamber condition
US5904862A (en) Methods for etching borophosphosilicate glass

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20021016

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

AX Request for extension of the european patent

Free format text: AL;LT;LV;MK;RO;SI

RIN1 Information on inventor provided before grant (corrected)

Inventor name: TAKESHITA, KENJI

Inventor name: NI, TUQIANG

Inventor name: LIN, FRANK, Y.

Inventor name: COLLISON, WENLI

Inventor name: CHOI, TOM

17Q First examination report despatched

Effective date: 20080508

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

GRAC Information related to communication of intention to grant a patent modified

Free format text: ORIGINAL CODE: EPIDOSCIGR1

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

REF Corresponds to:

Ref document number: 60142685

Country of ref document: DE

Date of ref document: 20100909

Kind code of ref document: P

REG Reference to a national code

Ref country code: NL

Ref legal event code: VDEP

Effective date: 20100728

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: NL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20100728

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20100728

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20100728

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20101129

Ref country code: CY

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20100728

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20100728

Ref country code: BE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20100728

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20101029

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20100728

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20100728

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20101108

26N No opposition filed

Effective date: 20110429

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: DE

Payment date: 20110329

Year of fee payment: 11

REG Reference to a national code

Ref country code: DE

Ref legal event code: R097

Ref document number: 60142685

Country of ref document: DE

Effective date: 20110429

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MC

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20110331

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20110316

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20111130

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20110331

Ref country code: LI

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20110331

Ref country code: CH

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20110331

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20110316

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20110316

REG Reference to a national code

Ref country code: DE

Ref legal event code: R119

Ref document number: 60142685

Country of ref document: DE

Effective date: 20121002

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LU

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20110316

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: TR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20100728

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20121002