JP4263245B2 - パーティクル制御方法及びプラズマ処理チャンバー - Google Patents

パーティクル制御方法及びプラズマ処理チャンバー Download PDF

Info

Publication number
JP4263245B2
JP4263245B2 JP51655898A JP51655898A JP4263245B2 JP 4263245 B2 JP4263245 B2 JP 4263245B2 JP 51655898 A JP51655898 A JP 51655898A JP 51655898 A JP51655898 A JP 51655898A JP 4263245 B2 JP4263245 B2 JP 4263245B2
Authority
JP
Japan
Prior art keywords
gas
substrate
silicon nitride
processing chamber
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP51655898A
Other languages
English (en)
Other versions
JP2001501379A5 (ja
JP2001501379A (ja
Inventor
ウイッカー,トーマス,イー.
マラスチィン,ロバート,エー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2001501379A publication Critical patent/JP2001501379A/ja
Publication of JP2001501379A5 publication Critical patent/JP2001501379A5/ja
Application granted granted Critical
Publication of JP4263245B2 publication Critical patent/JP4263245B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Description

発明の分野
本発明は、プラズマ処理チャンバーの改良、及び半導体ウェハ上の酸化物層をプラズマ・エッチングにより処理する方法等のプラズマ処理チャンバー中で基板を処理する方法に関する。
発明の背景
真空処理チャンバーは、一般に化学気相成長法(CVD)や、真空チャンバーに処理ガスを供給し、該処理ガスに高周波(RF)電界を印加することによる基板上の材料のエッチングに使用されている。平行平板(parallel plate)、トランスフォーマー結合型プラズマ(transformercoupled plasma:TCPTM、ICPとも呼ばれる)、及び電子サイクロトロン共鳴(ECR)反応装置の例が、本件出願と譲受人が共通の米国特許4,340,464号、4,948,458号、及び5,200,232号に開示されている。基板は、処理の間、真空チャンバー内の所定の場所に基板ホルダーによって保持される。従来の基板ホルダーは、機械的なクランプ及び静電クランプ(ESC)を含む。機械的なクランプ及び静電クランプ(ESCの例は、本件出願と譲受人が共通の米国特許第5,262,029号及び1995年3月10日の出願に係る米国特許出願08/401,524号に記載されている。電極形式の基板ホルダーは、米国特許第4,579,618号に開示されているように、高周波(RF)パワーをチャンバー内に供給できる。
高周波源に結合されたアンテナが、処理チャンバー内で、ガスにエネルギーを与えてプラズマ状態にするプラズマ処理システムが、米国特許4,948,458;5,198,718;5,241,245;5,304,279及び5,401,350号に開示されている。これらのシステムでは、アンテナがチャンバーの外側に置かれ、高周波エネルギーが誘電体の窓を通してチャンバーに供給される。これらの処理システムは、エッチング、堆積、レジスト剥離等の様々な半導体処理の分野で使用され得る。
発明の要約
本発明の目的は、基板ホルダーを取り囲むチャンバー・ライナー(chamber liner)、基板を取り囲むフォーカス・リング(focus ring)、及び/又は、基板に対向するガス分配プレート(gas distribution plate)のような反応面(reactor surface)の材料として窒化シリコンを使用することによって、基板が連続的に処理される際の基板のパーティクル汚染を低減することにある。
本発明の1つの側面によれば、基板を処理すると共に該基板のパーティクル汚染を低減する方法であって、処理チャンバー内の基板ホルダー上に基板を載置する工程を含む。ここで、ライナー、ガス分配プレート及び/又はフォーカス・リングのような部材が、処理チャンバー内の基板ホルダーの近くの領域に、露出した面を構成する。この部材は、窒化シリコンをベースとする材料を含んで構成され、該部材は、前記窒化シリコン部材の上に無欠のパッシベイティング層(highlyintact passivating layer)を形成すること、及び/又は、前記窒化シリコン部材のプラズマによって攻撃される部分からパーティクルを発生させずに該部分を揮発させることによって、基板の処理の際の該基板のパーティクル汚染を最少にするために効果的である。この方法は、処理チャンバーに処理ガスを供給し、処理チャンバー内のガス分配プレートを通してRFエネルギーに誘導的に結合し、処理ガスにエネルギーを与えてプラズマ状態にすることによって前記基板を処理する工程と、プラズマ・ガスに基板を接触させることによって処理チャンバー内で基板を連続的に処理する工程を含む。処理チャンバーは、実質的に平板的なアンテナを含んでもよく、処理ガスは、このアンテナにRFパワーを供給することによってエネルギーを与えられてプラズマ状態にされてもよい。このプラズマは、高密度のプラズマを含んでもよく、基板は、RFバイアスが供給しながら該基板上の酸化物層を高密度のプラズマによってエッチングすることによって処理されてもよい。この部材は、実質的に加熱プレス及び焼結されたSi34からなることが好ましい。
本発明の他の側面によれば、プラズマ処理チャンバーは、窒化シリコンをベースとする材料を含んで構成される部材を備え、この部材は、チャンバー・ライナー、フォーカス・リング及び/又はガス分配プレートを備える。このチャンバーは、処理チャンバー内で基板を支持するための基板ホルダーと、処理チャンバーの内部に処理ガスを供給するガス供給部と、処理ガスにエネルギーを与えてプラズマ状態にするRFエネルギーを供給するRFエネルギー源のようなエネルギー源とを更に備える。このチャンバーは、ガス分配プレートの近くに誘電体の窓を備えてもよく、このRFエネルギー源は、この窓の近くに実質的に平板状のアンテナを備えてもよい。このアンテナは、窓を通してRFパワーを供給して処理チャンバー内で処理ガスにエネルギーを与えてプラズマ状態にする。このアンテナは、ガス分配プレート内のガス排出口が基板ホルダーとアンテナとの間に直接的に配置されないように配置されてもよい。この誘電体の窓は、実質的に均一な厚さと実質的に平板的な形状を有してもよく、このガス分配プレートは、実質的に均一な厚さと実質的に平板的な形状を有してもよい。
【図面の簡単な説明】
同様な構成要素には同様な参照番号が付された図面を参照しながら本発明を詳細に説明する。
図1は、本発明に係るライナー(liner)、フォーカスリング(focus ring)及びガス分配プレート(gas distribution plate)を有する真空処理チャンバーの断面図である。
図2は、図1に示されるタイプの処理チャンバーにおいて窒化シリコンの分配プレートを用いることで達成された処理済みウエハ上のパーティクルの減少に関する改善を示すパーティクル対ウェハのカウントグラフである。
図3は、図1に示されるタイプの処理チャンバーにおいてアルミナのガス分配プレートを用いた場合の大量のパーティクル汚染を示すパーティクル対ウェハのカウントグラフである。
図4は、本発明に係る89穴の窒化シリコンのガス分配プレートの上面図である。
図5は、本発明に係る31穴の窒化シリコンのガス分配プレートの側面図である。
図6は、図5に示すガス分配プレートの外側エッジの断面図である。
図7は、図5に示すガス分配プレートの反対側を示す図である。
好ましい実施の形態の詳細な説明
本発明は、半導体ウェハ、フラット・パネル・ディスプレイ等のような基板のパーティクル汚染を低減することに関する改良を提供する。パーティクルの減少は、チャンバーの中で処理される基板に近接する部材の材料として窒化シリコンを利用することによって達成される。そような部材は、ライナー、フォーカスリング、ガス分配プレート等のような電気的に駆動されないチャンバー部分を含む。
基板のプラズマエッチングでは、シリコンウェハのような基板の上の様々な層に特徴(features)がエッチングにより形成される。そのようなエッチング工程では、ウェハ平面の上のリアクタ(reactor)の体積中におけるガスフローの空間的な分配を制御するために、ガス分配プレートが使用され得る。ラムリサーチ・インコーポレイテッドが提供しているプラズマエッチング反応器TCP9100(商標)では、ガス分配プレートは、TCP(商標)窓の直下に配置された環状(circular)のプレートである。この窓はまた、リアクタの上部における真空シーリング面であり、ウェハの上部の該ウェハに平行な平面内に配置されている。ガス分配プレートは、Oリングを使用して、ガス分配プレートの周辺に配置されたガス分配リングにシールされている。ガス分配リングは、ガスをソースからガス分配プレート、リアクタにRFエネルギーを供給するコイルの下の窓の内面、及びガス分配リングによって規定される体積にガスを供給する。ガス分配プレートは、該プレートを貫通した特定の直径の複数の穴からなる配列を含んでいる。ガス分配プレートを通る穴の空間的な分布は、エッチングされる層、例えば、フォトレジスト層、2酸化シリコン層、及びウェハ上の下層材料のエッチングの均一性を最適化するために変更することができる。ガス分配プレートの断面形状は、リアクタ中のプラズマへのRFパワーの分配を調整するために変更することができる。このガス分配プレートの材料は、ガス分配プレートを通してリアクタへの高周波パワーの結合を可能とするために、誘電体で構成しなければならない。更に、ガス分配プレートの材料は、酸素又はハイドロフルオロカーボン・ガス・プラズマ等の環境下で、破損やそれに起因するパーティクルの発生を避けるために、化学的なスパッタ・エッチングに対して高い耐性を有しなければならない。更に、ガス分配プレートの材料としては、汚染のレベルが低いものを採用すべである。さもなければ、ウェハ上のデバイスの性能に影響を与えるからである。
本発明に関して、窒化シリコンは、窒化アルミニウム及びアルミナのような他の材料を遥かに凌ぐ予測し得ない性能を齎すことが見出された。特に、ガス分配プレートを窒化シリコンで形成した場合、99.5%又は99.9%の純度のアルミナのガス分配プレートより、遥かに低いレートで攻撃され、その結果、ハイドロフルオロカーボン・ガスでのプラズマ・エッチングの際に、ウェハへのパーティクルの”追加”(累積したパーティクル)が遥かに少なくなった。
本発明の1つの実施の形態に係る真空処理チャンバーが図1に示されている。真空処理チャンバー10は、基板13に対して静電クランピング力を与える他、その上(基板ホルダーの上)に支持された基板に対して高周波バイアスを与える基板ホルダー12と、基板がヘリウムで背面から冷却されている際に該基板上の領域内にプラズマを閉じ込めるフォーカスリング14とを含む。チャンバー内で高密度(例えば、1011〜1012イオン/cm3)のプラズマを維持するためのエネルギー源、例えば適切なRF源によって駆動されるアンテナ18と、適切なRFインピーダンセ整合回路とが、高密度プラズマを提供するために、チャンバー10内のRFエネルギーと誘導結合する。チャンバーは、チャンバー内を所望の圧力(例えば、50mTorr以下、典型的には1〜20mTorr)に維持するために適切な真空ポンプ装置を含む。実質的に平面的で均一な厚さを有する誘電体の窓20は、アンテナ18と処理チャンバー10の内部との間に設けられ、処理チャンバー10の上部で真空壁を形成する。一般にシャワーヘッド22と呼ばれるガス分配プレートは、窓20の下に設けられ、ガス供給部23によって処理チャンバー10に供給される処理ガスを分配するための円形の穴(不図示)のような複数の開口を含む。円錐形のライナー30は、ガス分配プレートから延びており、基板ホルダー12を取り囲んでいる。アンテナ18には、その内部に、温度制御用の液体を注入口、排出口導管25、26を通して流すためのチャネル24を設けてもよい。しかしながら、アンテナ18及び/又は窓20は、他の技術によって冷却されてもよい。例えば、アンテナや窓に空気を吹き付ける技術、冷却媒体を窓及び/又はガス分配プレートに接触した熱伝達材に通す技術等がある。
運転中は、ウェハは、基板ホルダー12の上に載置され、ヘリウムによって背面から冷却する場合には、典型的には、静電クランプ、機械式クランプ、又は他のクランピング機構でクランプによって基板ホルダー12の上の所定の位置に保持される。次いで、処理ガスを窓20とガス分配プレート22との間の間隙に通して処理チャンバー10に供給する。好適なガス分配プレート(即ち、シャワーヘッド)の構成が本件出願と譲受人が共通の米国特許出願第08/509,080号、08/658.258号及び08/658,259号に開示されている。それらの開示内容は本件出願の一部をなす。例えば、図1における窓及びガス分配プレートの構成は平板的で均一な厚みであるが、非平板的及び/又は不均一な厚みの形状の窓及び又はガス分配プレートを使用することもできる。適切なRFパワーをアンテナ18に供給することにより、基板とガス分配プレート22との間で高密度プラズマが形成される。温度制御用の流体をアンテナ18内のチャネル24に通して、アンテナ18、窓20及びガス分配プレート22の温度を閾値温度、例えば120度℃以下、好ましくは90度℃以下、更に好ましくは80度℃以下に維持してもよい。
図2は、加熱プレスされた窒化シリコンのガス分配プレートを持つ処理チャンバーの中で処理されたウェハ上のウェハパーティクルの数の比較を示す。これは、チャンバー圧が5mTorr、TCPTMパワー(アンテナ18によって供給されるRFパワー)が1215W,ボトム電極パワー(基板ホルダー12によって供給されるRFバイアス)が950Wの条件で、処理ガスとして30sccmのC2HF5及び20sccmのC26を使用して、20秒間酸化物のエッチング処理を実行した結果である。窒化シリコンのガス分配プレートは、窒化シリコンをベースとする材料で構成される。この材料には、金属酸化物の焼結促進剤(sintering aid)、例えば、MgO,Al23又はSiO2のような焼結促進剤が有効量含まれてもよい。そのような焼結促進剤は、好ましくは、ガス分配プレートを製造するために使用される材料の3重量%以下になるように添加される。これにより、アルミナのガス分配プレートが使用される場合と比較して、ウェハ上のアルミニューム汚染が著しく低減される。図3は、ガス分配プレートがアルミナで作された場合における同様の処理でのパーティクル数を示す。
図2及び図3から、パーティクル汚染の平均は、窒化シリコンのガス分配プレートを使用した場合、2000枚のウェハのマラソン・ラン(marathon run)において、10パーティクル/ウェハ以下に維持される。一方、アルミナのガス分配プレートを使用した場合、同様のマラソン・ランにおいて、パーティクル数の平均は、150パーティクル/ウェハ程度であった。酸化物のエッチングにおいて、アルミナのガス分配プレートの上にはポリマーが連続的に形成され、そのポリマーが剥がれて処理すべきウェハを汚染する。対照的に、この実施の形態では、無欠かつ均一なパッシベイティング層が窒化シリコンのガス分配プレート上に形成される。窒化シリコン上のこのパッシベイティングは、非常に均一な厚さ(例えば、10μm以下)であり、アルミナ上に形成されるポリマーの成長に比べて非常にゆっくり成長する。従って、窒化シリコン上のこのパッシベイティング層は、アルミナの構造体に見られるような剥がれの問題を引き起こさない。
この分配プレートは、第4図から第7図に示すように種々の形態を採り得る。第4図に示すガス分配プレート40は、89個の穴41と、その中心部の近くに4個の凸部(embossment)42とを有し、これによってガス分配プレートと誘電体の窓との間にガスを供給するための経路が設けられる。第5図〜第7図に示されるガス分配プレート50は、31個の穴51と、この穴51に処理ガスを供給するためのチャネル52を有する。また、このプレートは、その外周54において厚くなっており、チャンバーにおける据え付け構造に適合する形状となっている。このガス分配プレート、ライナー及び/又はフォーカスリングは、本件出願と譲受人が共通の米国特許出願第08/658,262号及び第08/658,262号に記載されたタイプのチャンバーに搭載することもできる。これらの出願の開示内容は、本件出願の一部をなす。
酸化物エッチング処理においてエッチングされる基板には、一般に、下層と、エッチングされる酸化物層と、この酸化物層の上に形成されるフォトレジスト層が含まれる。この酸化物層は、SiO2、BPSG、PSGその他の酸化物材料のいずれか1つであってもよい。下層は、Si、TiN、シリシドその他の下層、又は基板材料であってもよい。エッチングの選択性、即ち、フォトレジストのエッチング速度と比較したエッチング対象の層のエッチング速度は、4:1程度又はそれ以上であることが好ましい。下層に対する酸化物層のエッチングの選択性は、酸化物:フォトレジストのエッチングの選択性、例えば40:1より大きいことが好ましい。
本発明によれば、一般に、シリコン、ポリシリコン、シリサイド、窒化チタン、アルミニウム等の導電層、又は、窒化シリコン等の非導電伝送層の上に形成される2酸化シリコン(例えば、ドープされた又はドープされていないTEOS、BPSCT、USG(undoped spin-on-glass)、熱酸化物、プラズマ酸化物等)のような誘電体材料をエッチングするような処理において、基板のパーティクル汚染を低減することができる。本発明によれば、半導体ウェハ(例えば、25枚或いはそれ以上連続するウェハ)のような基板の連続的なバッチ処理において、ウェハのパーティクル汚染を許容レベル以下に維持しながら、0.5μm或いはそれ以下の寸法の対象物(例えば、コンタクト・ホール、ヴィア、トレンチ等)を得ることができると共に、2:1から7:1の範囲のアスペク比をエッチングにより達成することができる。
酸化物エッチングにおいては、チャンバーの圧力は、典型的には300mTorr以下、好ましくは1〜40mTorrにされ、アンテナは、200〜5000ワット、好ましくは300〜2500ワットで駆動され、RFバイアスは、600ワット以下、好ましくは1000〜2500ワットにされ、He背圧は、5〜40Torr、好ましくは7〜20Torrにされる。処理ガスには、10〜200sccmのCHF3、10〜100sccmのC2HF5及び/又は10〜100sccmのC26を含め得る。
ガス分配プレート22の温度制御は、チャネル24を使用して、閉塞通風路温度コントローラ(closed circuit temperature controller)28からアンテナ18内を通して流体を循環させることにより行うことができる。この温度コントローラは、例えば1つあるいはそれ以上の温度センサ27によって窓の温度を監視し、冷却剤の温度及び/又はアンテナ18を通る冷却剤の流速(flow rate)を制御して、窓を闘値温度以下に維持することが好ましい。このアンテナ18は、窓20と効果的に熱接触をして、この窓とアンテナ18との間で十分な熱伝導が行われることが好ましい。この窓は、高い熱伝導率を有する誘電体材料、例えば窒化アルミニウムで構成することが好ましく、これによって、アンテナ18から窓を通してガス分配プレート22への熱伝導を最大化することができる。窒化アルミニウムは、100w/m−kの熱電導率、3.27g/cm3の比重、0.2cal/gm−kの熱容量、0.75の放射率を有する。プラズマからのイオン衝撃(bombardment)に起因してガス分配プレート22が受ける熱は、窓20を通過する。この熱は、アンテナ18の中に冷却流体を通過させ、窓とガス分配プレート22の間のガス圧を増加させ、アンテナの上に冷却ガスを吹きつけ、及び/又は、Heのような軽いガスをガス分配プレートによって分配される処理ガスに加えることによって除去することができる。
アンテナ18は、実質的に平板的な形態及び/又は1又はそれ以上(例えば、3から7)回る螺旋を持つ螺旋上の形態のような種々の形態とすることができる。チャネル24は、冷却流体の注入口から排出口まで、アンテナ19の全ての部分を通るように延びていることが好ましい。例えば、この冷却流体は、螺旋アンテナ18の外側から中央に向かって流れても良いし、中央からその外側へと流れてもよい。このアンテナは、ろうづけ、接着剤(例えばRTV)等の適当な方法によって、窓と張り合わせてもよい。これによってアンテナと窓との間に良好な熱伝導特性がもたらされる。アンテナを通る冷却流体は、イオンが除去された水、エチレングリコール、通常の熱交換オイル、あるいはFluoroinert(デュポン社による誘電性の流体)のような非電導の液体であることが好ましい。或いは、アンテナは、このアンテナの表面、一番上の表面など結合された冷却チューブを含んでいても良い。冷却流体は、チャンネル24と同様の方法で、この冷却チューブを通過する。
このガス分配プレート22は、この反応チャンバーに取り付けることが出来るような分離した部品として形成されていることが好ましい。また一方、窓20とガス分配プレートは一つの部品であってもよい。一つの部品にした場合、高い熱電導性を持つ窓/ガス分配プレート22の構成を提供すること、及び/又は、窓とガス分配プレート22を通しての熱交換をより均一にすることができる。単一部品の窓/ガス分配プレートを製造する際に、グリーン状態のセラミック(greenceramic)誘電性材料に適当なガス通路と排水口を設け、これを焼結されて1つのプレートを形成する。通路及び/又は穴の中にプラズマが打撃を与えることを防止するためには、この通路と穴の面積は、アンテナに電力を供給して処理ガスを流している際にプラズマが形成されるような条件を避けるために十分に小さくすることが好ましい。
ライナー、フォーカス・リング、及び/又はガス分配プレートは、窒化シリコンを主成分とする窒化シリコン・ベースの粉末の種々の混合で形成することができる。例えば、窒化シリコンの全体量は、少なくとも90重量%、好ましくは95重量%以上、更に好ましくは97重量%以上である。組成物の好適な例としては、(1)97.37%のSi34+1.66重量%のMgO+0.97%のSiO2;(2)98.366%のSi34+0.908%のMgO+0.726%のAl23;(3)97.496%のSi34+1.12%のMgO+0.487%のSiO2+0.897%Al23;(4)96.639%のSi34+1.331%のMgO+0.966%のSiO2+1.064%のAl23が挙げられる。シリコンと窒素は、公称Si34の化学量論が充分に達成することができるような量で存在することが望ましい。この混合物は、所望の形に成形したり、焼結して機械により所望の公差持たせたり、真空密封した表面のような表面仕上げをすることができる。
このガス分配プレートには、所望の穴のパターンを形成することができる。1つの好適な例が図4に示されており、この例では、0.020インチの直径を有する89個の穴が設けられている。この穴のパターンは、1つの中央の穴、直径2インチの4個の穴、直径3インチの6個の穴、直径4インチの6個の穴、直径5インチの8個の穴、直径6インチの8個の穴、直径7インチの12個の穴、直径8インチの12個の穴、直径9インチの16個の穴、及び直径10インチの16個の穴を含む。このプレートは、更に、上部に配置される窓から該プレートを隔てるために、該プレートの中央付近に0.020インチの厚さの4個の凸部を有している。
図5は、本発明に係るガス分配プレートであり、直径0.025インチの31個の穴を有する。この穴のパターンは、1つの中央の穴、直径2インチの4個の穴、直径4インチの6個の穴、直径6インチの8個の穴、及び直径8インチの12個の穴を含む。このプレートは、更に、第6図に示すように、厚い外周端を有する。更に、上部に配置される窓に対向する面は、長方形の溝のパターンを有し、これによって処理ガスが第5図に示すガス排出口に分配される。第7図では、6個の放射状に延びた溝が設けられており、該溝は、夫々0.015インチの深さと0.35インチの幅を持っている。
アンテナによって生成される電界がガス分配プレートの穴の中でプラズマを発生させるために十分な強度がある場合には、アンテナは、ガス分配プレートの排出口のいずれをも覆い隠さないような位置に配置されることが好ましい。例えば、アンテナが多数回巻かれたコイル(multi-turn)を有し、ガス分配プレートが、中央の穴と、該中央の穴から夫々固定した半径の所の位置に1つ以上の円を形成するように配置された様々な穴とを有する場合は、このアンテナは、これらの円を通る全ての巻き部(turn)がそれらの円の上に配置された隣接する一対の穴の間に配置されることが好ましい。このようなアンテナの配置は、アンテナに対して最も近い位置に配置された穴の中のプラズマの打撃を最小にし得る。
本発明に係る窒化シリコンのガス分配プレートは、酸化物エッチング及び酸素クリーニングの際に処理されるウェハの上のパーティクル数を劇的に低減する。例えば、この窒化シリコンのガス分配プレートは、TCPTMパワーが650W、底部電極が750W、圧力が10mTorrの下で750sccmの酸素ガスを10秒間流すアッシング処理においてもパーティクル汚染を低減する。アルミナガスの分配プレートと比較すると、アルミナガスの分配プレートは、エッチングや酸素クリーニング処理に攻撃を受け、ウェハ上にアルミナのパーティクルを落下させる。一方、窒化シリコンのガス分配プレートでは、汚染に関する性能が改良される。それは、エッチングや酸素クリーニング処理の際に、窒化シリコン材料が攻撃を受けて生成される二次生産物(bi-products)は揮発性であるため、ウェハ上のパーティクルを増加させないからである。
窒化シリコンのガス分配プレートは、種々の方法によって形成することができる。例えば、窒化シリコンは、アルファ・シリコン・ナイトライド(alpha silicon nitride)を高い割合で含む粉末を用いて、1500℃以上の温度で加熱プレスすることによって得られる。このような高い温度でのプレスの際に、アルファ相は、ベータ変態(beta-modification)に変態(transform)する。その変態(transformation)と高密度化(densification)は、圧力、温度、原料粉末のアルファ/ベータ相の割合に依存する。窒化シリコンへの典型的な添加物としては、MgO、Y23、CeO2、ZrO2、及びAl23、並びに他の可能な希土類酸化物である。加熱プレスは、加熱静水圧プレス又はガス圧焼結のような方法で実施することができる。加熱静水圧プレスによる窒化シリコンと比べると、加熱静水圧プレスされた材料は、緻密な等軸構造(equiaxed structure)を持っているのに対して、ガス圧縮焼結された材料は、高いアスペクト比のグレインを有する粗い構造を持っている。ガス圧焼結は、2MPaにまで及ぶ窒素ガス圧を用いて実施される。ここで、窒素ガスが窒化シリコンの熱変成を抑制し、高密度化のための高い焼結温度の使用を可能にする。加圧プレスされた窒化シリコンは、15から30MPaの圧力の印加の下で、1650〜1850℃の範囲の温度に誘導によって加熱した黒鉛ダイス(graphite dies)の中で、1から4時間熱と等軸の圧力を印加することにより形成することができる。その他の技術としては、0.1MPaの窒素雰囲気の下、1700〜1800℃で窒化シリコンの成形組成物を焼成する方法がある。更に他の技術としては、MgOやY23のような添加物を成形に先立ってシリコンに加えて、次いでニトロ化し、窒素雰囲気の下で1800〜2000℃の範囲で焼結する方法がある。本発明に係る窒化シリコンのガス分配プレートを作成する他の方法も、当業者には明らかであろう。
以上は、本発明の要旨、好適な実施の形態及び実施のモードの説明である。しかしながら、本発明は、これらの記述範囲に限定されて構成されるものではない。従って、上記の実施の形態は、単なる具体例であって本発明を限定するものではなく、特許請求の範囲で定義された本発明の範囲を逸脱しない範囲で、当業者が上記の実施の形態を変形し得ることを理解されたい。

Claims (15)

  1. 基板を処理すると共に該基板のパーティクル汚染を低減する方法であって、
    (a)基板の近くに露出し、窒化シリコンをベースとする材料を含んで構成された面を有する部材を含む処理チャンバー内の基板ホルダー上に基板を載置する工程と、
    (b)処理チャンバーに処理ガスを供給し、該処理チャンバー内で処理ガスにエネルギーを与えてプラズマ状態にすることによって前記基板を処理する工程と、
    (c)前記処理チャンバーから前記基板を取り出す工程と、
    (d)前記窒化シリコンをベースとする材料を含んで構成された面を有する部材の上に無欠のパッシベイティング層を形成すること、又は、前記窒化シリコンをベースとする材料を含んで構成された面を有する部材のプラズマによって攻撃される部分からパーティクルを発生させずに該部分を揮発させることによって、処理の際の基板のパーティクル汚染を最少にしながら、前記処理チャンバーの中で(a)〜(c)工程を繰り返すことによって連続的に他の基板を処理する工程とを含み、
    前記処理チャンバーは、実質的に平板的なアンテナを備え、前記アンテナは、RFパワーが供給されることにより前記処理ガスにエネルギーを与えてプラズマ状態にし、前記処理ガスは、1つ又はそれ以上のハイドロフルオロカーボンガスを含むことを特徴とする方法。
  2. 前記窒化シリコンをベースとする材料を含んで構成された面を有する部材は、ガス分配プレートを含むことを特徴とする請求項1に記載の方法。
  3. 前記プラズマは、高密度プラズマを含み、前記基板は、該基板にRFバイアスを供給しながら前記高密度プラズマで該基板上の酸化物層をエッチングする処理が施されることを特徴とする請求項1に記載の方法。
  4. 前記窒化シリコンをベースとする材料を含んで構成された面を有する部材は、前記処理チャンバーの側壁を構成するライナー、前記処理ガスを前記処理チャンバーに供給するガス分配プレート、又は、前記基板を取り囲むフォーカス・リングを含むことを特徴とする請求項1に記載の方法。
  5. 前記処理チャンバーは、誘電体の窓を備え、前記窒化シリコンをベースとする材料を含んで構成された面を有する部材は、第1及び第2の対向した面、前記第1の面を通って延びるガス排出口及び前記第2の面内の複数のガス分配チャネルを含み、前記第2の面は、前記誘電体の窓と接触しており、前記ガス分配チャンネルは、前記ガス排出口に前記処理ガスを供給することを特徴とする請求項1に記載の方法。
  6. 前記窒化シリコンをベースとする材料を含んで構成された面を有する部材が、実質的に、加熱プレス及び焼結されたSi34からなることを特徴とする請求項1に記載の方法。
  7. プラズマ処理チャンバーであって、
    前記処理チャンバーの内部で基板を支持するための基板ホルダーと、
    前記基板の近くに露出し、窒化シリコンをベースとする材料を含んで構成された面を有する部材と、
    前記処理チャンバーの内部に処理ガスを供給するガス供給部と、
    誘電体の窓と、
    前記窓の近くに実質的に平板的なアンテナの形状を有するRFエネルギー源と、
    を備え、
    前記アンテナは、前記基板を処理するために、前記処理チャンバーの内部に前記窓を通してRFパワーを供給し、前記処理ガスにエネルギーを与えてプラズマ状態とし、
    前記窒化シリコンをベースとする材料を含んで構成された面を有する部材は、ガス分配プレートを含み、前記誘電体の窓は、前記ガス分配プレートの近くに位置し、
    前記窒化シリコンをベースとする材料を含んで構成された面を有する部材は、前記窒化シリコンをベースとする材料を含んで構成された面を有する部材の上に無欠のパッシベイティング層を形成すること、又は、前記窒化シリコンをベースとする材料を含んで構成された面を有する部材のプラズマによって攻撃される部分からパーティクルを発生させずに該部分を揮発させることによって、前記プラズマによる前記基板の処理の際の前記基板のパーティクル汚染を最少にすることを特徴とするプラズマ処理チャンバー。
  8. プラズマ処理チャンバーであって、
    前記処理チャンバーの内部で基板を支持するための基板ホルダーと、
    前記基板の近くに露出し、窒化シリコンをベースとする材料を含んで構成された面を有する部材と、
    前記処理チャンバーの内部に処理ガスを供給するガス供給部と、
    誘電体の窓と、
    前記窓の近くに実質的に平板的なアンテナの形状を有するRFエネルギー源と、
    を備え、
    前記アンテナは、前記基板を処理するために、前記処理チャンバーの内部に前記窓を通してRFパワーを供給し、前記処理ガスにエネルギーを与えてプラズマ状態とし、
    前記窒化シリコンをベースとする材料を含んで構成された面を有する部材は、前記処理ガスを前記処理チャンバーの内部に供給するガス排出口を有するガス分配プレートを含み、
    前記窒化シリコンをベースとする材料を含んで構成された面を有する部材は、前記窒化シリコンをベースとする材料を含んで構成された面を有する部材の上に無欠のパッシベイティング層を形成すること、又は、前記窒化シリコンをベースとする材料を含んで構成された面を有する部材のプラズマによって攻撃される部分からパーティクルを発生させずに該部分を揮発させることによって、前記プラズマによる前記基板の処理の際の前記基板のパーティクル汚染を最少にすることを特徴とするプラズマ処理チャンバー。
  9. プラズマ処理チャンバーであって、
    前記処理チャンバーの内部で基板を支持するための基板ホルダーと、
    前記基板の近くに露出し、窒化シリコンをベースとする材料を含んで構成された面を有する部材と、
    前記処理チャンバーの内部に処理ガスを供給するガス供給部と、
    誘電体の窓と、
    前記窓の近くに実質的に平板的なアンテナの形状を有するRFエネルギー源と、
    を備え、
    前記アンテナは、前記基板を処理するために、前記処理チャンバーの内部に前記窓を通してRFパワーを供給し、前記処理ガスにエネルギーを与えてプラズマ状態とし、
    前記窒化シリコンをベースとする材料を含んで構成された面を有する部材は、実質的に均一な厚みと実質的に平板状の形状を有するガス分配プレートを含み、
    前記窒化シリコンをベースとする材料を含んで構成された面を有する部材は、前記窒化シリコンをベースとする材料を含んで構成された面を有する部材の上に無欠のパッシベイティング層を形成すること、又は、前記窒化シリコンをベースとする材料を含んで構成された面を有する部材のプラズマによって攻撃される部分からパーティクルを発生させずに該部分を揮発させることによって、前記プラズマによる前記基板の処理の際の前記基板のパーティクル汚染を最少にすることを特徴とするプラズマ処理チャンバー。
  10. プラズマ処理チャンバーであって、
    前記処理チャンバーの内部で基板を支持するための基板ホルダーと、
    前記基板の近くに露出し、窒化シリコンをベースとする材料を含んで構成された面を有する部材と、
    前記処理チャンバーの内部に処理ガスを供給するガス供給部と、
    誘電体の窓と、
    前記窓の近くに実質的に平板的なアンテナの形状を有するRFエネルギー源と、
    を備え、
    前記アンテナは、前記基板を処理するために、前記処理チャンバーの内部に前記窓を通してRFパワーを供給し、前記処理ガスにエネルギーを与えてプラズマ状態とし、
    前記窒化シリコンをベースとする材料を含んで構成された面を有する部材は、一方の側に、放射状に延びた複数のチャネルを有し、その反対側に、複数のガス排出口を有するガス分配プレートを含み、前記チャネルは、前記ガス排出口に前記処理ガスを供給し、
    前記窒化シリコンをベースとする材料を含んで構成された面を有する部材は、前記窒化シリコンをベースとする材料を含んで構成された面を有する部材の上に無欠のパッシベイティング層を形成すること、又は、前記窒化シリコンをベースとする材料を含んで構成された面を有する部材のプラズマによって攻撃される部分からパーティクルを発生させずに該部分を揮発させることによって、前記プラズマによる前記基板の処理の際の前記基板のパーティクル汚染を最少にすることを特徴とするプラズマ処理チャンバー。
  11. プラズマ処理チャンバーに適用されるガス分配プレートであって、前記プラズマ処理チャンバーは、誘電体の窓と、前記窓の外のRFアンテナと、前記RFアンテナによって処理チャンバーの内部でエネルギーを与えられてプラズマ状態にされる処理ガスを供給するためのガス供給部と、処理チャンバーの内部で基板を支持するための基板ホルダーとを備え、前記ガス分配プレートは、
    前記誘電体の窓に対向する第1の面と、基板に対向する第2の面を備え、前記第2の面は、前記処理チャンバーの内部に処理ガスを供給するためのガス排出口を含み、
    前記ガス分配プレートは、窒化シリコンをベースとする材料を含んで構成され、前記窒化シリコンをベースとする材料の上に無欠のパッシベイティング層を形成すること、又は、前記窒化シリコンをベースとする材料のプラズマによって攻撃される部分からパーティクルを発生させずに該部分を揮発させることによって、基板の処理の際の該基板のパーティクル汚染を最少にするために効果的であることを特徴とするガス分配プレート。
  12. 前記窒化シリコンをベースとする材料は、少なくとも95wt%の窒化シリコンを含むことを特徴とする請求項11に記載のガス分配プレート。
  13. 実質的に均一な厚みと実質的に平板的な形状を有することを特徴とする請求項11に記載のガス分配プレート。
  14. 前記第1の面の上に、半径方向に延びる複数のチャネルを備え、前記チャネルは、前記ガス排出口に処理ガスを供給することを特徴とする請求項11に記載のガス分配プレート。
  15. 全体が実質的に加熱プレス及び焼結されたSi34からなることを特徴とする請求項11に記載のガス分配プレート。
JP51655898A 1996-09-30 1997-09-17 パーティクル制御方法及びプラズマ処理チャンバー Expired - Fee Related JP4263245B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/722,371 1996-09-30
US08/722,371 US5993594A (en) 1996-09-30 1996-09-30 Particle controlling method and apparatus for a plasma processing chamber
PCT/US1997/016133 WO1998014980A1 (en) 1996-09-30 1997-09-17 Particle controlling method and plasma processing chamber

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008111896A Division JP4891287B2 (ja) 1996-09-30 2008-04-22 プラズマ処理チャンバー、チャンバー要素及びその製造方法

Publications (3)

Publication Number Publication Date
JP2001501379A JP2001501379A (ja) 2001-01-30
JP2001501379A5 JP2001501379A5 (ja) 2005-05-12
JP4263245B2 true JP4263245B2 (ja) 2009-05-13

Family

ID=24901571

Family Applications (2)

Application Number Title Priority Date Filing Date
JP51655898A Expired - Fee Related JP4263245B2 (ja) 1996-09-30 1997-09-17 パーティクル制御方法及びプラズマ処理チャンバー
JP2008111896A Expired - Fee Related JP4891287B2 (ja) 1996-09-30 2008-04-22 プラズマ処理チャンバー、チャンバー要素及びその製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008111896A Expired - Fee Related JP4891287B2 (ja) 1996-09-30 2008-04-22 プラズマ処理チャンバー、チャンバー要素及びその製造方法

Country Status (7)

Country Link
US (2) US5993594A (ja)
EP (1) EP0938740B1 (ja)
JP (2) JP4263245B2 (ja)
KR (2) KR100665649B1 (ja)
AT (1) ATE416474T1 (ja)
DE (1) DE69739145D1 (ja)
WO (1) WO1998014980A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821570B (zh) * 2019-06-10 2023-11-11 大陸商中微半導體設備(上海)股份有限公司 用於真空處理設備的內襯裝置和真空處理設備

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010049196A1 (en) * 1997-09-09 2001-12-06 Roger Patrick Apparatus for improving etch uniformity and methods therefor
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6491042B1 (en) * 1998-12-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Post etching treatment process for high density oxide etcher
JP3542514B2 (ja) * 1999-01-19 2004-07-14 株式会社日立製作所 ドライエッチング装置
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
JP2003533010A (ja) 1999-09-30 2003-11-05 ラム リサーチ コーポレーション 前処理を行なったガス整流板
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6440219B1 (en) * 2000-06-07 2002-08-27 Simplus Systems Corporation Replaceable shielding apparatus
DE10134806A1 (de) * 2000-08-10 2002-06-13 Stratos Lightwave Inc N D Ges Dampfphasenreaktionsvorrichtung mit Diaphragma für variable Stromverteilung
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
TWI225668B (en) * 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
US7527706B2 (en) * 2002-10-10 2009-05-05 Tokyo Electron Limited Plasma processing apparatus, process vessel for plasma processing apparatus and dielectric plate for plasma processing apparatus
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US6749684B1 (en) 2003-06-10 2004-06-15 International Business Machines Corporation Method for improving CVD film quality utilizing polysilicon getterer
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
US8540843B2 (en) * 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US7138067B2 (en) * 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US7578945B2 (en) * 2004-09-27 2009-08-25 Lam Research Corporation Method and apparatus for tuning a set of plasma processing steps
US20060151116A1 (en) * 2005-01-12 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focus rings, apparatus in chamber, contact hole and method of forming contact hole
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US20070235320A1 (en) * 2006-04-06 2007-10-11 Applied Materials, Inc. Reactive sputtering chamber with gas distribution tubes
KR100798352B1 (ko) 2006-05-24 2008-01-28 주식회사 뉴파워 프라즈마 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
US7674662B2 (en) * 2006-07-19 2010-03-09 Applied Materials, Inc. Process for making thin film field effect transistors using zinc oxide
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7927713B2 (en) * 2007-04-27 2011-04-19 Applied Materials, Inc. Thin film semiconductor material produced through reactive sputtering of zinc target using nitrogen gases
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
TWI434420B (zh) 2007-08-02 2014-04-11 Applied Materials Inc 使用薄膜半導體材料的薄膜式電晶體
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
US8980066B2 (en) * 2008-03-14 2015-03-17 Applied Materials, Inc. Thin film metal oxynitride semiconductors
US8143093B2 (en) 2008-03-20 2012-03-27 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US7879698B2 (en) * 2008-03-24 2011-02-01 Applied Materials, Inc. Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
KR101013511B1 (ko) 2008-08-12 2011-02-10 주식회사 맥시스 라이너 어셈블리 및 이를 구비하는 플라즈마 처리 장치
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
CN102640294B (zh) * 2009-09-24 2014-12-17 应用材料公司 将湿式处理用于源极-漏极金属蚀刻从而制造金属氧化物或金属氮氧化物tft的方法
US8840763B2 (en) 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
JP5592098B2 (ja) 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
JP5694721B2 (ja) * 2009-10-27 2015-04-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9120985B2 (en) 2010-05-26 2015-09-01 Exxonmobil Research And Engineering Company Corrosion resistant gasifier components
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
JP5617817B2 (ja) 2011-10-27 2014-11-05 パナソニック株式会社 誘導結合型プラズマ処理装置及び誘導結合型プラズマ処理方法
SG11201402058TA (en) * 2011-11-24 2014-09-26 Lam Res Corp Symmetric rf return path liner
US10115565B2 (en) 2012-03-02 2018-10-30 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
JP2014149983A (ja) * 2013-02-01 2014-08-21 Toshiba Corp プラズマ処理装置用電極とその製造方法、及びプラズマ処理装置
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
JP6710783B2 (ja) * 2016-06-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ
US10504720B2 (en) * 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
CN107600735A (zh) * 2017-10-22 2018-01-19 惠州市通用纸业有限公司 一种便于取拿纸巾的环保型双用纸巾包装袋
JP7426709B2 (ja) * 2019-10-23 2024-02-02 株式会社イー・エム・ディー プラズマ源
KR102646591B1 (ko) * 2022-05-13 2024-03-12 세메스 주식회사 기판 처리 장치

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5445776A (en) * 1980-10-20 1995-08-29 Kabushiki Kaisha Kobe Seiko Sho Method for producing high density sintered silicon nitride (Si3 N.sub.4
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
EP0268721B2 (en) * 1986-11-25 1995-09-20 Battelle Memorial Institute Pulverulent silicon nitride composition reinforced with silicon carbide whiskers and its use for the manufacturing of sintered parts
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JPH02229431A (ja) * 1989-03-02 1990-09-12 Fujitsu Ltd 半導体装置の製造方法
GB8905073D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JPH05198534A (ja) * 1992-01-20 1993-08-06 Sony Corp ドライエッチング方法
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JPH06310065A (ja) * 1993-04-26 1994-11-04 Nissin Electric Co Ltd イオン源装置
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
JP3276023B2 (ja) * 1993-10-20 2002-04-22 東京エレクトロン株式会社 プラズマ処理装置の制御方法
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
TW296534B (ja) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5762714A (en) * 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821570B (zh) * 2019-06-10 2023-11-11 大陸商中微半導體設備(上海)股份有限公司 用於真空處理設備的內襯裝置和真空處理設備

Also Published As

Publication number Publication date
WO1998014980A1 (en) 1998-04-09
JP2008235924A (ja) 2008-10-02
JP2001501379A (ja) 2001-01-30
JP4891287B2 (ja) 2012-03-07
KR20060029592A (ko) 2006-04-06
EP0938740B1 (en) 2008-12-03
KR100615067B1 (ko) 2006-08-22
DE69739145D1 (de) 2009-01-15
KR100665649B1 (ko) 2007-01-09
US6251793B1 (en) 2001-06-26
US5993594A (en) 1999-11-30
ATE416474T1 (de) 2008-12-15
KR20000048585A (ko) 2000-07-25
EP0938740A1 (en) 1999-09-01

Similar Documents

Publication Publication Date Title
JP4263245B2 (ja) パーティクル制御方法及びプラズマ処理チャンバー
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
US6033585A (en) Method and apparatus for preventing lightup of gas distribution holes
US6394026B1 (en) Low contamination high density plasma etch chambers and methods for making the same
TWI417957B (zh) 具有介電間隔環之邊緣環總成
CN101048856B (zh) 用于等离子室内的氧化钇绝缘体环
US6048798A (en) Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US6451157B1 (en) Gas distribution apparatus for semiconductor processing
KR100939464B1 (ko) 저오염의 플라즈마 챔버 부품 및 그 제조방법
KR101546278B1 (ko) 플라스마 챔버의 조정가능한 접지 평면
CN100474521C (zh) 温控热边缘环组件,包含该组件的装置及其用途
EP1068632B1 (en) Contamination controlling method and plasma processing chamber
JP2004522307A (ja) 誘導結合プラズマ加工システム
KR20230142237A (ko) 기판 지지 유닛 및 이를 구비하는 기판 처리 장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040916

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061017

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070111

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070717

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071012

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071025

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071119

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080422

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080905

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090116

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090212

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120220

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130220

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130220

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140220

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees