KR100264445B1 - 플라즈마처리장치 - Google Patents

플라즈마처리장치 Download PDF

Info

Publication number
KR100264445B1
KR100264445B1 KR1019940025300A KR19940025300A KR100264445B1 KR 100264445 B1 KR100264445 B1 KR 100264445B1 KR 1019940025300 A KR1019940025300 A KR 1019940025300A KR 19940025300 A KR19940025300 A KR 19940025300A KR 100264445 B1 KR100264445 B1 KR 100264445B1
Authority
KR
South Korea
Prior art keywords
plasma
gas
chamber
high frequency
coil
Prior art date
Application number
KR1019940025300A
Other languages
English (en)
Other versions
KR950012614A (ko
Inventor
이시이노부오
Original Assignee
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP27314093A external-priority patent/JP3173693B2/ja
Priority claimed from JP27313993A external-priority patent/JP3173692B2/ja
Priority claimed from JP27313893A external-priority patent/JP3173691B2/ja
Priority claimed from JP28420793A external-priority patent/JP3193815B2/ja
Priority claimed from JP28421193A external-priority patent/JP3276023B2/ja
Application filed by 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 히가시 데쓰로
Publication of KR950012614A publication Critical patent/KR950012614A/ko
Application granted granted Critical
Publication of KR100264445B1 publication Critical patent/KR100264445B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 처리 장치가 웨이퍼를 지지하기 위하여 그 내부에 지지대가 배치된 챔버를 포함한다. 평판형의 코일로 된 안테나가 웨이퍼 쪽으로 향하기 위하여 챔버의 절연벽의 외면에 장착된다. 고주파 전류가 코일에 공급되고, 그로 인해 코일과 웨이퍼 사이의 챔버 내에서 플라즈마를 생성한다. 웨이퍼를 둘러싸기 위하여 포커스 링이 지지대 상에 배치되고, 그것은 상기 웨이퍼의 표면을 지나는 코일을 향하여 돌출하며, 상기 돌출부와 코일 사이에서 생성되는 플라즈마를 웨이퍼의 표면과 거의 평행한 방향으로 향하게 하기 위하여 전기 절연체 또는 고저항체로 형성된 돌출부를 가진다.

Description

플라즈마 처리장치
제1도는 본 발명의 제1의 실시예에 관한 플라즈마 처리 장치의 전체 구성을 나타내는 단면도이다.
제2도는 본 발명의 실시예의 전체 구성의 개략을 나타내는 개략 사시도이다.
제3도는 웨이퍼의 지지 구조를 나타내는 분해 사시도이다.
제4도는 재치대 및 포커스 링을 나타내는 분해 사시도이다.
제5도는 재치대 및 포커스 링과 위치 관계를 나타내고 설명하기 위한 도면이다.
제6도는 플라즈마의 형상을 설명하기 위한 도면이다.
제7도는 포커스 링의 다른 예를 나타내는 단면도이다.
제8도는 본 발명의 다른 실시예의 요부를 나타내는 단면도이다.
제9도는 피처리체의 전계에 의한 손상 방지를 위한 구성예를 나타내는 설명도이다.
제10도는 제2도의 실시예에 관한 플라즈마 처리 장치를 나타내는 단면도이다.
제11도는 제2의 실시예에 관한 플라즈마 처리 장치로의 웨이퍼 공급장치를 개략적으로 나타내는 도면이다.
제12a도는 에칭 처리 개시까지의 공정을 설명하기 위한 순서도이다.
제12b도는 에칭 처리 종료부터 웨이퍼 반출까지의 공정을 설명하기 위한 순서도이다.
제13도은 고주파 코일이 다른 제10도에 나타난 장치의 변형예를 나타내는 도면이다.
제14도는 바이어스용 전극이 부가된 제10도에 나타난 장치의 변형예를 나타내는 도면이다.
제15도는 제어 전극이 부가된 제10도에 나타난 장치의 변형예를 나타내는 도면이다.
제16도는 별도의 고주파 안테나가 처리 용기의 외주에 부가된 제10도에 나타난 장치의 변형예를 나타낸 도면이다.
제17도는 별도의 고주파 안테나가 재치대의 가운데에 부가된 제10도에 나타난 장치의 변형예를 나타내는 도면이다.
제18도은 별도의 고주파 안테나가 포커스 링의 주위에 부가된 제10도에 나타난 장치의 변형예를 나타내는 도면이다.
제19도는 큰 사이즈의 피처리체의 플라즈마 처리에 적당한 플라즈마 처리 장치를 개략적으로 나타낸 사시도이다.
제20도은 플라즈마 처리 공간이, 피처리체의 하방에 형성된 플라즈마 처리 장치를 나타내는 단면도이다.
제21도는 플라즈마 처리 공간이, 피처리체의 측방에 형성된 플라즈마 처리 장치를 나타내는 단면도이다.
제22도는 재치대가 수직 방향으로 이동 가능하게 설치된 플라즈마 처리 장치를 나타내는 단면도이다.
제23도은 실험 장치를 개략적으로 나타내는 도면이다.
제24도는 계산에 의해 구한 플라즈마의 확산 상태를 나타내는 그래프이다.
제25도는 전자 밀도와 전자 온도의 압력 의존성을 실험에 의해 구한 결과의 그래프이다.
제26도는 전자 밀도와 전자 온도의 전력 의존성을 실험에 의해 구한 결과의 그래프이다.
제27도는 웨이퍼의 직경 방향으로 이온 포화 전류의 분포를 실험에 의해 구한 그래프이다.
제28도은 아르곤의 발광 스펙트럼의 압력 의존성을 실험으로 구한 결과의 그래프이다.
제29도는 발광 강도의 압력 의존성을 실험으로 구한 결과의 그래프이다.
제30도은 배기계의 변형예를 나타내는 일부를 삭제한 사시도이다.
제31a도는 실시예에서 사용되고 있는 나선형 코일의 배선을 나타내는 도면이다.
제31b도는 나선형 코일 배선의 변형을 나타내는 도면이다.
*도면의 주요부분에 대한 부호의 설명*
2, 201 : 챔버 3 : 탑재대
31 : 재치부 32 : 지지부
33 : 볼트 4 : 정전척 시트
6 : 플라즈마 포커스 링 6a : 외환상부재
6b : 내환상부재 7 : 고주파 안테나
8, 88 : 기계적 셔터 21 : 절연판
22 : 고주파 전원부 23 : 가스 공급관
34 : 절연체 35 : 냉매실
41 : 도전막 43 : 직류 전원
44 : 스위치 51, 63 : 구멍
52 : 통기실 54, 82 : 압력 조정기
55, 85 : 압력 검출부 56 : 콘트롤러
60 : 가스 공급 수단 65 : 돌출부
66 : 완충 원판 68 : 질량 흐름 콘트롤러
69 : 가스공급원 70 : 냉매 공급원
71 : 고주파 전원 36a : 냉매 도입관
36b : 냉매 배출관 74 : 투명창
75 : 광학 센서 76 : 광학계
77 : 신호 제어기 78 : 압력 센서
81, 84 : 배기관 83 : 진공 펌프
86 : 배기 콘트롤러 87 : 케이스 본체
89 : 배기공 91 : 모터 고정대
92 : 히터 95 : 밸브
96 : 로드록실 97 : 반송 장치
98, 107 : 게이트 밸브 99 : 카세트 실
100 : 카세트 101, 105 : 배기관
102, 106 : 진공 배기 밸브 103 : 진공 펌프
104 : 재치대 110a, 110b, 120 : 전극
111a, 111b, 126, 132 : 매칭 회로
121 : 가변전원 124, 130 : 절연재
125, 131 : 고주파 안테나 127, 133, 137 : 고주파 전원
140 : 지지 기구 141 : 푸셔 핀 기구
150 : 승강 기구 201 : 챔버
202 : 가스 도입구 203 : 재치대
204 : 유리 판 205 : 안테나
206, 207 : 고주파 전원
본 발명은, 고주파 유도 방식의 플라즈마 처리 장치에 관한 것이다.
반도체 웨이퍼의 처리 공정에서, 예를 들어, 캐패시터나 소자의 분리, 또는 콘덕트 홀의 형성 등을 위하여 드라이에칭이 행해지고 있다. 이 드라이에칭을 행하는 종래 장치의 대표적인 것으로서 평행 평판형 플라즈마 처리 장치가 알려져 있다.
이 처리 장치는, 기밀(氣密)한 챔버 내에, 하부 전극을 사용한 재치대가 배설됨과 동시에, 이 재치대의 상방에 이것과 대향하여 가스 공급부를 겸용한 상부 전극이 배설되어 있다.
이러한 플라즈마 처리 장치에서는, 먼저 재치대 상에 비처리체인 반도체 웨이퍼를 재치하고, 가스 공급부로부터 처리 가스를 챔버 내에 도입함과 동시에, 양전극 사이에 고주파 전원부에 의하여 고주파 전력을 인가하여 이들 전극 사이에 플라즈마를 발생시키고, 이 플라즈마 중의 반응성 이온에 의하여 웨이퍼의 에칭이 행해진다.
최근, 디바이스 패턴의 선폭이 점점 미세화하는 경향이다. 상술한 장치에서 플라즈마가 발생하고 있을 때의 챔버 내의 압력이 100mTorr-1Torr이고, 이러한 높은 압력에서는 이온의 평균 자유 공정이 작기 때문에 미세 가공이 곤란하다. 또, 웨이퍼의 직경이 점점 커지고 있으나, 이온의 평균 자유 공정이 작으면, 넓은 표면에 고르게 플라즈마를 분포하여 높은 균일성을 확보하는 것이 어렵기 때문에, 큰 직경의 웨이퍼에 대하여 균일한 처리가 곤란하다는 문제도 있다.
따라서, 최근에는, 유럽 특허 공개 명세서 제379828호나 특개평 3-79025호 공보에 기재되어 있는 바와 같이, 재치대에 대향하는 챔버의 상벽을 석영 유리 등의 절연재에 의하여 구성함과 동시에, 이 절연재의 외측에 평면상의 코일을 고정하고, 이 코일에 고주파 전류를 흘려서 챔버 내에 전자장을 형성하고, 이 전자장 내에 유입된 전자를 처리 가스의 중성 입자에 충돌시켜 플라즈마를 생성하는 고주파 유도 방식이 검토되고 있다.
이 방식에 의하면, 코일의 형상에 따라서 실질적으로 동심원 모양의 전계를 유도하고, 플라즈마가 한정되는 효과가 있기 때문에, 종래의 평행평판형의 플라즈마 처리 장치의 경우에 비하여 상당히 낮은 압력으로 플라즈마를 발생시킬 수 있고, 따라서 발생한 플라즈마 중의 이온의 평균 자유 공정이 커지고, 이 때문에 이 플라즈마에 의한 에치 처리는, 미세 가공에 적당하다. 따라서 플라즈마는 고밀도 영역으로부터 저밀도 영역으로 확산하지만 이온의 평균 자유 공정이 커지기 때문에 플라즈마 밀도 분포는 매끄럽고, 웨이퍼 면에 평행한 면에 있어서 플라즈마의 균일성이 높아지고, 큰 직경의 웨이퍼에 대한 플라즈마 처리의 면내 균일성이 향상된다.
따라서 고주파 유도 방식은 패턴의 선폭의 미세화, 웨이퍼의 직경의 대형화에 적당한 것으로서 주목되고 있으나, 아직 미지수 부분이 많고, 예를 들어 고주파 전력을 매우 크게 하지 않고도 보다 높은 밀도를 가지는 플라즈마를 생성할 수 있을까 하는 점에 대하여 검토할 필요가 있다. 따라서 고밀도의 플라즈마를 생성하는 방법의 하나로서 웨이퍼의 가장자리부에 절연체를 배치하여 플라즈마를 집중화하는 것이 고려되고 있으나, 그 경우 플라즈마의 집중도를 어떻게 조정하는가, 또한 웨이퍼의 가장자리부에서 플라즈마의 하면의 평탄성을 어떻게 확보하는가 하는 점에 대해서 검토할 필요가 있으며, 이러한 것이 충분히 검토된 상태에서만 상술한 고주파 유도 방식이 실현될 수 있다.
본 발명의 목적은, 코일로 된 안테나에 고주파 전력을 인가하여 얻어진 플라즈마에 의하여 피처리체를 처리함에 있어서, 플라즈마를 집중화 시키고, 또한 플라즈마의 하면에서의 높은 평탄성을 얻을 수 있는 플라즈마 처리 장치를 제공하는 것이다.
도 1 및 도 2는 본 발명의 실시예에 관한 플라즈마 처리 장치, 예를 들어 에칭 장치의 전체 구성을 나타내는 단면도, 및 일부를 삭제한 개략 사시도이다. 도면 중 부호(2)는, 상벽의 일부, 즉 중앙부를 제외하여 예를 들어 알루미늄과 같은 도전 재료로서 구성된 원통형의 기밀구조의 챔버 또는 처리 용기를 나타낸다. 이 챔버(2) 내의 중앙 저부에는, 예를 들어 알루미늄과 같은 도전 재료로 된 탑재대(3)가 배치되어 있다.
이 탑재대(3)는, 상측 부분에 있는 재치부(31)와, 이 재치부(31)를 위로 지지하는 하측 부분에 있는 지지부(32)가 볼트(33)에 의하여 분리될 수 있도록 결합되어 구성되어 있다. 지지부(32)의 저면과 챔버(2)의 저벽의 사이에는 절연체(34)가 끼워져 있고, 양자가 전기적으로 분리되어 있다. 상기 재치부(31)의 상면에는 정전 척 시트(electronic chuck sheet)(4)가 그 상면 가장자리부를 제외하고 덮도록 설치되어 있다. 이 정전척 시트(4)는, 예를 들어 구리 호일로 된 정전척 시트용의 전극인도체막(41)을 예를 들어 폴리이미드막과 같은 절연성 고분자, 세라믹, 또는 석영과 같은 반응성 이온에 대하여 내구성이 높은 재질로 된 절연막(42)으로 양측으로부터 피복하여 구성되어 있다. 상기 도전막(41)은, 챔버(2) 외부의 직류 전원(43)에 스위치(44)를 통하여 전기적으로 접속되어 있다.
상기 재치부(31)에는, 상단이 그 재치부(31)의 상면에 개구하는 다수개의 후면 가스(열전달용 가스)를 위한 다수의 구멍(51)이 균일한 분포로서 형성되어 있다. 이 구멍부(51)의 하단은, 재치부(31) 내에 형성되고, 예를 들어, 수평으로 연장된 통기실(52)에 연결되어 있다. 이 통기실은 지지부(32), 절연체(34) 및 챔버의 저벽을 관통하여 챔버의 외부에 연장된 후면 가스용의 가스 공급로(53)를 통하여, 예를 들어, He 가스 등의 가스 공급원(69)에 접속되어 있다. 이 공급로(53)의 도중에는, 버터플라이 벨트 등의 압력 조정기(54)가 설치되어 있다. 상기 정전 척(4)에도 각 구멍부(51)에 대응한 위치에 수직으로 관통한 구멍(45)(도 3에 나타나 있다)이 형성되어서, 구멍부(51)로부터 후면 가스가 정전척시트(4)의 구멍을 통하여 시트(4)의 상면에 도출되고, 이 위에 재치된 피처리체인 웨이퍼(W)의 이면에 뿜어지도록 되어 있다. 상기 가스 공급로(53)는, 도시되지 않은 예를 들어 He가스 등의 가스 공급원으로 접속되어 있다.
상기 통기실(52)에는 이 중의 후면 가스의 압력을 검출하고, 검출된 압력에 대응하는 전기 신호를 발생하는 압력 검출부(55)가 설치되어 있다. 이 압력 검출의 출력측에는, 본 발명 장치의 제어계에 포함된 콘트롤러(56)가 접속되고, 이 콘트롤러에 의해 압력 검출부(55)의 압력 검출치에 따라, 상기 구멍(51)으로부터 웨이퍼(W)의 이면으로 향하여 뿜어져 나오는 후면 가스의 압력이 소정치, 예를 들어 약 10Torr로서 유지됨으로써, 압력 조정기(54) 예를 들어 후면 가스의 개구부를 조정하는 기능을 가지고 있다.
상기 재치대(3)의 상면에는, 웨이퍼(W)를 둘러싸기 위한 플라즈마 집중 링(포커스 링)(6)이 설치되어 있다. 이 집중 링은 절연체 예를 들어 세라믹이나 석영으로 형성되어 있거나, 또는 도 4에 상세히 나타나 있는 바와 같이, 이러한 절연체에서 형성된 외환부(6a)와, 도전성 재료, 예를 들어, 탄소로 된 내환상부재(6b)로서 구성되어 있다. 포커스 링(6)의 내주는, 웨이퍼(W)의 외주와 상이한 형상으로 만들어져 있는 동시에, 내부 가장자리로 부터 외부 가장자리로 향하여 높아지도록 경사져 있다. 절연체만으로 형성되어 있는 경우, 예를 들어, 8인치 웨이퍼에 대하여는 포커스 링(6)의 링 폭 30mm, 웨이퍼 표면에 대한 외부 가장자리의 높이는 2mm, 웨이퍼(W)와 링 내주와의 거리는 0.5mm, 웨이퍼 표면에 대한 재부 가장자리의 높이는 1.5mm로 설정되어 있다. 외환상부재(6a)와 내환상부재(6b)로 형성되어 있는 경우에는, 양자는 근소하게 떨어져 있는 것이 바람직하다. 이 포커스 링(6)의 절연재는, 챔버(2)내의 전기력선을 챔버 중앙부측으로 끌어들여, 웨이퍼와 거의 평행하도록 된 것으로, 이 예에서는 절연체로서 만들어지지만, 절연체에 한하지 않고 고저항체(도전성 고저항체 및 반도체의 저항치를 가지는 재질을 포함한다)로서 구성되어도 좋다.
상술한 바에 의하여 포커스 링(6)이 외환상부재(6a)와 내환상부재(6b)로서 구성된다면, 플라즈마는 외환상 부재(6a)에 의하여 위로 삽입되어 올라가지만, 내환상부재(6b)가 놓여 있는 부분에서는 전기력선이 밖으로 확장되기 때문에, 플라즈마의 하면은 가로 방향으로 넓어지고, 이로 인해 웨이퍼(W)의 가장자리부에서의 평탄성이 좋아지기 때문에, 플라즈마 처리의 면내 균일성을 높일 수 있다(이 설명은 도 8을 참조하여 후술하겠다).
상기 지지부(32)의 내부에는, 재치대(3)를 통하여 웨이퍼(W)를 냉각하기 위하여, 냉매체를 순환시키는 냉매실(35)이 형성되고, 여기에는 냉매공급원(70)에 접속된 도입관(36A)과, 배출관(36B)이 설치되어 있고, 도입관(36A)을 통하여 냉매실(35) 내에 공급시키기 위한 냉각 매체, 예를 들어 -190℃의 액체 질소는, 재치대(3)를 -50 내지 -150℃로 냉각하고, 인쇄에서 나타난 바와 같이 배출관(36B)을 통하여 장치 외부로 배출시킨다.
상기 재치대(3)에 대향하는 챔버(2)의 상벽 중앙부는 절연재, 예를 들어 석영 유리나 세라믹 등으로 형성된 절연판(21)에 의하여 구성되고, 이 절연판(21)의 상면에는, 예를 들어, 구리, 알루미늄, 스테인레스 등으로 된 평면형의 코일 예를 들어 나선형 코일로 된 고주파 안테나(7)가 고착되어 있다. 이 고주파 안테나(7)의 양단자(내측 단자 및 외측 단자)사이에는, 플라즈마 생성용의 고주파 전원부(71)보다 매칭 회로(matching circuit)(72)를 통하여 예를 들어 13.56MHz, 1kw의 고주파 전압이 인가된다. 이로 인해 안테나(7)에 고주파 전류가 흐르고, 후술하는 바와 같이 안테나(7) 바로 아래에 있는 챔버(2) 내 공간에서 플라즈마가 생성된다.
상기 재치대(3)와 접지 사이에는, 상기 재치대(3)에, 고주파 안테나(7)에 인가된 고주파 전압의 주파수보다 낮은 주파수, 예를 들어, 1내지 3MHz, 바람직하게는 2 내지 3MHz의 바이어스 전압을 부여하기 위하여, 고주파 전원부(22)가 접속되어 있다. 따라서 챔버(2)는 접지에 접속되어 있고, 이로 인해 재치대(3)와 챔버(2)와의 사이에 전계가 형성되고, 그 결과 챔버(2)내의 플라즈마 중의 반응성 이온의 웨이퍼(W)에 대한 수직성이 증가하게 된다.
상기 챔버(2)의 측면 상부에는 가스 공급관(23)이 접속되어 있다. 이 가스 공급관(23)으로부터 챔버(2) 내에 공급되는 처리 가스는 가공 종류에 따라 다르게 되고, 예를 들어 에칭 가공의 경우에는 CHF3나 CF4등의 에칭 가스가 공급된다. 도시된 예에서는 하나의 가스 공급관(23)만이 나타나 있으나, 균일하게 처리 가스를 흐르도록 하기 위하여 적당한 수의 가스 공급관을 챔버(2)에 접속할 수도 있다.
상기 챔버(2)의 저면에는, 다수개의 배기관(81)의 한 끝단이 챔버(2)의 둘레 방향으로 같은 간격으로 위치하여 접속되어 있다. 도시된 예에서는 2개의 배기관(81)의 한 끝단이 챔버(2)의 축에 대칭적으로 접속되어 있다. 이들 배기관(81)의 다른 끝단 측은, 도 2에 나타난 바와 같이 버터플라이 밸브 등의 압력 조정기(82) 및 진공 펌프(83)가 개장된 공통의 배기관(84)에 접속되어 있다. 이 배기관에는, 바람직하게는 도시되지 않은 기계적인 셔터가 설치되고, 외부로부터의 조작에 의하여 개구도가 조절되도록 되어 있다. 이 실시예에서 배기계는, 진공된 초기 상태에는 천천히 배기하여 입자가 산란되지 않도록 하고, 또 어느 정도 진공된 후는 빨리 배기하므로써, 챔버(2) 내에 설치된 압력 검출부(85)에 의한 압력 검출치에 따라서 배기 콘트롤러(86)가 압력 조정기(82)를 조정하도록 구성되어 있다.
다음으로 상술한 실시예의 작용에 대하여 설명한다. 우선 도시되지 않은 반송 아암에 의하여 피처리체 예를 들어 웨이퍼(W)를 챔버(2) 내에 반입하여 정전척 시트(4) 상에 재치한다. 그리고 진공 펌프(83)에 의하여 배기관(81)을 끼우고 소정의 진공 분위기로 진공 배기함과 동시에, 가스 공급관(23)으로부터 예를 들어 CF4가스 등의 에칭 가스를 챔버(2)내에 공급하면서 배기관(81)으로부터 진공 배기하여 챔버(2)내를 예를 들어 수 mTorr-수 10mTorr의 진공도로 유지함과 동시에, 고주파 안테나(7)에 고주파 전원부(71)로부터 고주차 전압을 인가한다.
이 고주파 전압의 인가에 의하여 고주파 안테나(7)에 고주파 전류가 흐르면, 안테나 도체의 주위에 교류 자계가 발생하고, 그 자속의 대부분은 안테나 중심부를 자속 방향으로 통하여 닫힌 루프를 형성한다. 이러한 교류 자계에 의하여 안테나(7)의 바로 아래에서 대략 동심원 형태로 원주 방향의 교류 전계가 유도되고, 이 교류 전계에 의하여 원주 방향으로 가속된 전자가 처리 가스의 중성 입자에 충돌하므로써 가스가 전이하여 플라즈마가 생성된다. 이렇게 하여 발생한 플라즈마 중의 반응성 이온에 의하여 웨이퍼(W)의 표면이 에칭된다.
따라서 웨이퍼(W) 외측에 절연체 또는 고저항체를 가지는 포커스 링(6)이 설치되어 있기 때문에, 전기력선이 이곳으로부터 이탈하려고 하기 때문에 플라즈마 웨이퍼(W)의 중심측에 집중화된다. 그리고 포커스링(6)의 상면은 외측이 높아지기 위하여 경사져 있기 때문에, 도 6에 점선으로 나타난 바와 같이 플라즈마가 높은 집중화를 그릴 수 있는 동시에, 플라즈마의 하면은 급격하게 내측으로 끌려가지는 않으나, 만곡된 형상으로 되기 때문에 웨이퍼(W)의 가장자리부의 플라즈마의 하면의 평탄성을 확보할 수 있고, 그 결과, 높은 효율이 면내 균일성의 플라즈마 처리를 행할 수가 있다. 그리고 포커스링(6)의 상면의 높이나, 경사면의 각도를 조정하므로써, 플라즈마의 집중도 및 플라즈마 하면의 형상을 조정할 수가 있다.
산화 실리콘이나 폴리실리콘 등의 실리콘 계의 막을 에칭하는 경우 할로겐과 실리콘의 화합물이 반응 생성물로서 배기관 내 등의 배기계에 부착하지만, 이러한 에칭 처리에 있어서는, 소정의 개수를 처리한 후, CIF3가스를 처리 가스 공급관으로부터, 예를 들어, 유량 10-5000sccm, 압력 0.1-100Torr로서 챔버 내에 공급하여 배기시키면, 배기계에 부착된 반응 생성물을 이 CIF3가스에 의하여 세척할 수 있다.
포커스링(6)의 절연체의 부분은 상술의 실시예에서는 경사면으로 되어 있으나, 도 7a에 나타난 바와 같이 내면을 향하여 세로로 낮아지는 단부를 다수개 형성하는 구성, 도 7b에 나타난 바와 같이 L자 형으로 형성된 구성, 도 7c에 나타난 바와 같이 외측을 경사면으로 하여 내측을 평탄하게 하는 구성 등을 채용하여도 같은 효과가 얻어진다. 이제, 포커스링(6)의 내측은 웨이퍼(W)의 표면보다 낮거나 동일한 높이라도 좋고, 플라즈마의 밀도 등에 대응하여 웨이퍼(W)의 가장자리부의 평탄성을 확보할 수 있도록 적절히 설정하면 된다.
또한, 포커스링(6)의 절연체의 부분을 외측을 내측보다 높아지게 하면, 플라즈마 하면의 가장자리부의 평탄성이 좋아지는 점에서 좋으나, 본 발명에서는 반드시 포커스링(6)의 상면에 있어서의 외측, 내측의 높이 관계를 상술한 바와 같이 한정하는 것이 아니라, 높은 플라즈마의 집중 효과를 얻는다는 점에서 보면 포커스링(6)의 상면이 웨이퍼(W)의 표면보다 높아지면 예를 들어 그 상면은 웨이퍼(W)에 평행한 평면으로 되도 좋다.
도 8은, 절연체의 내환상부재(6b)의 상면을 단부로 하고, 도체의 외환상부(6a)를 웨이퍼의 면보다도 낮게 한 포커스 링의 예를 나타낸다. 이러한 구성이라면, 플라즈마는 재환상부재(6b)에 의해 위로 삽입되어 올라가지만, 외환상부(6a)가 놓여진 부분에서는 전기력선이 바깥으로 확장되기 때문에, 플라즈마의 하면은 실선으로 나타난 바와 같이 가로로 확장되고(점선은 외환상부(6a)를 비교하기 위하여 절연체로서 구성된 경우의 플라즈마의 형상이다). 이로 인해 웨이퍼(W)의 가장자리부에 있어서의 평탄성이 좋아지기 때문에, 플라즈마 처리의 면내 균일성을 개선할 수 있다.
여기에서 고주파 유도방식을 이용함에 있어서, 전계에 의한 웨이퍼(W)의 손상을 방지하기 위한 좋은 실시예에 대하여 설명한다. 고주파 안테나(7)에 의하여 발생한 전계는, 플라즈마가 발생할 때에는 표피 효과에 의하여 웨이퍼(W)까지 도달하지 않으나, 플라즈마가 발생하지 않는 경우에는 웨이퍼(W)까지 도달하고, 수직 방향의 전계 성분에 의하여 웨이퍼(W)의 표면이 손상을 받는 경우가 있기 때문에, 도 9에 나타난 바와 같이, 웨이퍼(W)와 동일한 크기 또는 그것보다 큰 기계적 셔터(8)를 진퇴 자재로 설치하고, 이렇게 하여 전계가 웨이퍼(W)에 도달하지 않도록 하던가, 또는 챔버(2) 내의 처리 가스의 분위기가 소정의 압력으로 되기 때문에 고주파 전력을 고주파 안테나에 공급하도록 하여도 좋다.
다음으로, 각각 다른 실시예에 관한 플라즈마 처리 장치를 도 10 내지 도 23을 참조하여 순서대로 설명한다. 이들 실시예에서 상술한 실시예와 실질적으로 동일한 부재는, 동일한 참조 부호를 사용하여 설명을 생략한다.
도 10에 나타난 장치에서는, 챔버(2) 내에 가스를 공급하기 위하여 가스 공급관(23)이 절연판(21)을 관통하여 설치되고, 여기에 재치대(3)의 상방으로 설치된 가스 공급 수단(60)이 연합되어 있다. 이 가스 공급수단(60)은, 재치대의 상면과 거의 같은 면적의 원판과, 이 원판의 외주로부터 상방으로 돌출설치되고, 상단이 절연판(21)에 부착된 둘레판으로서 구성되어 있고, 이들의 내면과 상기 절연판(21)의 내면과의 사이에 가스 완충실을 규정하고 있다.
상기 공급관(23)은, 이 원판의 중심부에 대응하도록 하기 위하여 절연판(21)을 관통하고, 완충실 내에 개구하여 있다. 공급 수단(60)의 원판에는, 다수의 작은 구멍(63)이, 소정의 분포로 형성되어 있다. 완충실내에는, 상기 원판보다 약간 작은 직경의 완충 원판(66)이 축방향으로, 상하면 평행하게 둘레면을 완충실의 내면과의 사이에서 간격을 두고 배설되어 있다. 이 완충 원판(66)의 중앙 상면에는 가스 공급관(23)으로 향하여 나와 있는 돌출부(65)가 형성되어 있다.
상기 가스 공급관(23)은 외부에 설치된 가스원(이 예에서는 에칭 가스원)(67a, 67b)에 질량 흐름 콘트롤러(mass flow controller)(68)에 의하여 유량이 조절되어 완충실 내로 들어가고, 혼합이 촉진됨과 동시에, 균일한 유량으로 작은 구멍(63)을 관통하여 챔버(2) 내에 공급된다. 상기 가스 공급 수단(60)의 하면 가장자리부에는, 작은 구멍(63)을 통하여 챔버(2) 내에 공급된 가스를 웨이퍼 방향으로 향하도록 하기 위하여 환상의 돌기가 하방을 향하여 돌출설비 되어 있다.
재치대(31)와 지지부(32)와의 사이에는, 모터 고정대(91)에 수용된 온도 조절옹의 히터(92)가 설치되어 있고, 이 히터는 전력원(73)에 접속되어 있다. 그 결과, 전력원(73)을 제어하여 히터(92)로의 공급 전력을 조절함으로써, 냉매실(35)에 의하여 재치대(3)의 냉각을 제어하고, 웨이퍼(W)의 피처리면의 온도 조절이 가능하게 된다.
상기 구성의 처리 장치의 제어계를 이하에 설명한다.
챔버(2)의 한쪽 벽에 형성된 개구에는, 석영 유리 등의 투명한 재료로서 형성된 투명창(74)이 설치되어 있고, 처리실 내의 빛은 광학계(75)를 통하여 광학 센서(76)에 입사한다. 이 광학 센서(76)는, 입사광의 발광 스펙트라에 관한 신호를 제어기(77)에 보낸다.
챔버(2)의 측벽에는, 처리실 내의 압력을 검출하기 위한 압력 센서(78)가 설치되어 있다. 이 압력 센서는 압력에 관한 신호를 상기 제어기(77)에 보낸다.
그 결과, 이 제어기(77)는, 이들 센서(76, 78)로부터의 출력 신호와, 미리 설정된 기준 신호에 따라서 제어 신호를, 에칭 처리 중에 항상 상기 질량 흐름 콘트롤러(68), 고주파 전원부(22, 71), 가스공급원(69), 전원(73), 냉매 공급원(70)에 각각 보내고, 이들을 에칭이 최적으로 행해지도록 제어한다.
다음으로, 도 11를 참조하여 플라즈마 처리 장치로의 웨이퍼 공급체계를 설명한다.
처리 용기(2)의 한쪽의 측벽에는 개폐 자재에 설치된 제1의 밸브(95)를 통하여 로드록(load-lock)실(96)이 접속되고 있다. 이 로드록실(96)에는, 반송 장치(97), 예를 들어, 알루미늄제의 아암을 도전성 테플론에 의하여 코팅시켜 정전 대책이 된 반송 아암이 설치되어 있다.
이 반송 아암은, 공지의 기구에 의하여 신장 및 회동 가능하게 되어 있다. 이 로드록실(96)은, 저벽에 형성된 배기구에 의하여 배기관(101)이 접속되고, 이 배기관은 진공 배기 밸브(102)를 통하여 진공 펌프(83)에 접속되어 있다. 이 결과, 로드록실(96) 내는 진공 펌프(83)에 의하여 진공 배기가 가능하도록 되어 있다.
상기 로드록실(96)의, 상기 게이트(95)가 설치되어 있는 것은 반대측의 측벽에는, 개폐 자재에 설치된 제2의 게이트 밸브(98)를 통하여 카세트실(99)이 접속되어 있다. 이 카세트실(99)내에는, 카세트(100)를 위로 재치하는 재치대(104)가 설치되어 있다. 이 카세트(100)는, 예를 들어, 25개의 웨이퍼(W)를 1개씩의 로트(lot)로서 수용할 수 있도록 구성되어 있다. 상기 카세트실(99)에는, 저벽에 형성된 배기구에 의하여 배기관(105)이 접속되고, 이 배기관은 진공 배기 밸브(106)를 통하여 상기 진공 펌프(83)에 접속되어 있다. 이 결과, 카세트실(99)내는 진공 펌프(83)에 의하여 진공 배기가 가능하도록 되어 있다. 이 카세트실(99)의 다른 쪽 측벽은, 개폐 자재에 설치된 제3의 게이트 밸브(107)를 통하여 외부와 연결하여 통하도록 구성되어 있다.
다음으로, 상기 구성의 반송계의 작동에 대하여 설명한다.
우선, 제3의 게이트 밸브(107)를 열어, 웨이퍼(W)를 수용한 카세트(100)를 도시하지 않은 반송 로보트에 의하여 카세트실(99)의 재치대(104)상에 재치시키고 나서 게이트 밸브(107)을 닫는다. 다음으로 진공 배기 밸브(106)를 열어서, 진공 펌프(83)에 의하여 카세트실(99) 내를, 예를 들어 10-1Torr가 될 때까지 배기한다.
다음으로 제2의 게이트 밸브(98)를 열어서, 상기 반송 아암(97)을 카세트실(99)내에 신장시켜 한 개의 웨이퍼(W)를 카세트로서 수용하고, 이들을 로드록실(96)내로 보낸다. 그후, 제2의 게이트 밸브(98)를 닫음과 동시에, 진공 배기 밸브(102)를 열어서 진공 펌프(83)에 의하여 로드록실(96)내를, 예를 들어, 10-3Torr가 될 때까지 배기한다.
다음으로, 제1의 게이트 밸브(95)를 열어서, 상기 반송 아암(97)을 신장시켜 웨이퍼를 처리 용기(2)내의 재치대 상방에 반송하고, 도시되지 않은 다수개의, 예를 들어 3개의 푸셔 핀(pusher pin)의 선단으로 보낸다. 그 후, 반송 아암(97)을 로드록실(96) 가운데에 돌려 보내고, 제1의 게이트 밸브(95)를 닫아서 처리 용기(2)를 기밀하게 한다.
다음으로, 도 12a에 나타난 바와 같이, 정전척(4)에 고주파 전압을 인가한다(제1단계). 그리고, 푸셔 핀을 하강시켜 웨이퍼를 정전척상에 이재시켜, 정전 흡착력에 의하여 척 상에 웨이퍼를 고정한다(제2단계).
공지의 기술에서 달성된 바와 같이, 이들 푸셔 핀은, 재치대를 수직으로 관통하여 삽입되고, 처리 용기의 하방에 설치된 구동원에 의하여 수직 방향으로 서로 동기 방식으로 이동할 수 있게 되어 있다. 이 웨이퍼의 고정 동작 사이에, 진공 배기 밸브(82)를 열어서 진공 펌프(83)에 의하여, 처리 용기(2)내를, 예를 들어 10-5Torr로 배기한다.
다음으로, 더미 웨이퍼를 사용하여 미리 구한 최적의 에칭 속도를 얻기 위하여, 최적의 압력 분위기에 도달하는 것이 압력 센서(78)에 의하여 검출된 때에, 고주파 전원부(71)로부터 고주파 에너지를 고주파 안테나(7)에 공급하고, 처리 용기(2)내에플라즈마를 발생시킨다(제3단계).
그후, 후면 가스를 웨이퍼의 하면과 정전척(4)와의 사이에 공급하고, 웨이퍼를 소정의 온도로 설정한다(제4단계). 그리고, 마지막으로, 바이어스 전압을 재치대(3)에 인가하여 에칭 처리를 개시시킨다(제5단계). 이러한 공정에서, 에칭을 개시하므로써, 처리 용기 내의 감압으로, 웨이퍼가 정전 챔버로부터 이탈될 염려는 없게 된다.
소정의 에칭 처리가 완료되면, 도 12b에 나타난 바와 같이, 우선 고주파 전원부(22)의 작동을 정지시켜 바이어스 전위를 단절하고 나서 (제1단계), 후면 가스의 공급을 정지한다(제11단계). 그리고, 고주파 전원부(71)를 오프하여 처리 용기 내의 플라즈마의 발생을 정지한다(제12단계).
다음으로, 처리 용기(2) 내의 처리 가스나 반응 생성물을 치환하기 위하여, 질소 등의 불활성 가스를 처리 용기 내에 포트(65)로부터 도입함과 동시에 압력 조정기(82)를 열어서 용기 내를 진공 펌프(83)에 의하여 배기한다. 그리고 용기 내의 잔유 처리 가스나 반응 생성물을 충분히 배기한 후에, 직류 전원(43)의 스위치를 오프하여 정전척에 의한 웨이퍼의 흡인을 해제시킨다(제13단계). 그리고, 푸셔 핀을 상승시켜 웨이퍼를 흡착 척로부터 잡아 올리는 동시에, 제1의 게이트 밸브(95)를 열어서 반송 아암(97)을 처리 용기(2)내에 삽입하여 웨이퍼를 푸셔 핀으로부터 수납하고, 로드록실(96) 가운데로 반송한다. 그 후, 제1의 게이트 밸브(95)를 닫고, 로드록실(96)을 기밀하게 한다. 이 로드록실(96)내에서 웨이퍼를, 도시되지 않은 히터에 의하여 실온, 예를 들어 18℃까지 온도를 올리고 나서, 제2의 게이트 밸브(98)를 열어서 웨이퍼를 카세트실(99)내로 반송한다. 이렇게 하여 소정의 개수의 웨이퍼를 카세트에 수용한 후에, 제3의 게이트 밸브를 열어서, 카세트를 장치 바깥으로 내보낸다.
다음으로, 이상과 같은 구성으로 된 도10, 11에 나타난 에칭 장치에 있어서, 피처리체(W)로서의 폴리실리콘 기판에 형성된 산화 실리콘막의 에칭을 행한 경우의 선택비를 측정한 실시 결과에 있어서 표 1을 참조하여 설명한다.
실험 조건은, 피처리체(W)를 재치한 재치대(3)에는, 상기 피처리체(W)의 표면을 처리한 플라즈마 가운데서 이온을 끌어당기는 디바이스 전압으로서 100W가 고주파 전원(22)에 의하여 인가된다. 고주파 안테나(7)에는 고주파 전원(71)에 의하여 1000W의 고주파가 인가된다. 이러한 조건에 있어서, 가스 공급 수단(60)으로 부터 CF4가스 및 CH4가스를 각각 30sccm/26sccm의 유량으로 흘린 경우에 있어서의 선택비를 측정하여, 표 1에 나타내었다.
[표 1]
고주파 전력 : 1000와트
바이어스 전력 : 100와트
이러한 상기 폴리실리콘 기판이 인이 도프된 D-중합체인 경우와, 도프되지 않은 ND-중합체의 경우의, 각각의 선택비의 상위를 조절한 결과, 표 1에 나타낸 바와 같이, SiO2/폴리-Si의 선택비는 23.4-40.9라는 고선택비를 얻을 수 있다.
이 표 1에 나타낸 바와 같이, 선택비는 CF4와 CH4와의 유량비에 의존하고, 고선택비를 유지하면서 크게 변화하기 때문에, 다른 에칭형상, 피처리체의 처리면내의 균일성 등의 조건을 고려하여 고선택비를 선택할 수가 있다.
또 CF4가스와 CH4가스에, 그 유량비로서 10% 미만 정도의 O2가스를 첨가하므로써, 에칭 시의 측벽 형상을 개선할 수 있고, 또는 50% 미만의 Ar 가스를 첨가하여도 같은 효과가 얻어진다. 또 NF3가스와 CH4가스를 혼합한 가스계에 있어서도, 산화막 에칭에 있어서, 하지 실리콘이나 리지스터에 대하여 충분한 선택비를 얻을 수 있다. 또 이 경우에도, 전술한 경우와 마찬가지로 O2가스 또는 Ar 가스를 첨가하므로써 에칭 시의 측벽 형상을 개선할 수 있다.
또한 에칭 처리에 있어서, CH4가스와 CF4가스에 의하여 산화 실리콘막이 에칭되어 생성된 반응 생성물이 이 에칭 처리를 행하는 반응 용기의 내벽에 부착하는 것을 방지하는 기술로서, 상기 내벽을 50-100℃, 더욱 바람직하게는 60-80℃의 적당한 온도로 가열 수단, 예를 들어 히터선에 의하여 가열할 수도 있다. 이러한 상기 반응 용기의 내벽으로의 반응 생성물의 부착을 방지할 수가 있다면, 부착물이 벗겨지는 것에 의한 피처리 기판의 오염을 방지할 수도 있다.
도 13에 나타난 실시예의 처리 장치에서는, 절연재(5)의 외벽면에 설치된 고주파 안테나(7)가 도 10에 나타난 실시예와는 다르다. 이 실시예에서는, 나선형 코일로 된 고주파 안테나(7)의 일부(7c)가 이중으로 감겨있고, 이 중복 부분(7b 및 7c)에 의하여 강한 전자장을 형성할 수 있도록 구성되어 있다. 이러한 나선형 코일의 감음수를 부분적으로 가변적으로 하므로써, 처리 용기(1)내에 여기된 플라즈마의 밀도 분포를 조정할 수 있다. 이제 도시된 예에서는, 고주파 안테나(7)의 중복 부분을 외주 부분으로 설정하였으나, 중복 부분은 필요한 플라즈마의 밀도 분포에 대응하여 고주파 안테나(7)의 임이의 부분에 설정할 수가 있다.
또, 도시된 예에서는, 고주파 안테나(7)의 중복 부분을 단순히 이중감음으로 구성하였으나, 필요한 플라즈마의 밀도 분포에 따라 임의의 감음수로 설정할 수도 있다.
도 14에 나타난 예의 플라즈마 처리 장치에서는, 처리 용기(2)의 내부에, 재치대(3)를 둘러싸기 위하여 같은 간격으로 방사상의 예를 들어 알루미늄제의 다수개의(이 예에서는 2개로 하고 있으나, 그 이상으로도 할 수 있다)제2의 전극(110a, 110b)이 배치되어 있다. 이들 전극(110a, 110b)에는 각각 매칭 회로(111a, 111b)를 통하여 고주파 전원(112a, 112b)이 접속되어 있다. 이들 전원은 제어기(77)에 의하여, 그 구동이 제어될 수 있다. 이러한 구성에 의하여, 재치대(3)에 인가되는 바이어스용 고주파 에너지에 부가하여, 피처리체(W)의 피처리면을 반경 방향 외주로부터 같은 간격으로 방사상으로 둘러싸고 있는 제2의 전극(110a, 110b)에도 바이어스용 고주파 에너지를 인가할 수가 있기 때문에, 각 고주파 에너지의 크기, 진폭, 위상, 주파수 등을 조정하므로써, 처리 용기(2) 내에 여기된 플라즈마의 상태를 최적으로 제어할 수가 있다.
도 15에 나타난 예의 플라즈마 처리 장치에서는, 처리 용기(2)의 내부에, 가스 공급 수단(60)의 가스가 뿜어져 나오는 면의 하방 및 재치대(4)의 상방에, 예를 들어, 실리콘 또는 알루미늄으로 된 망사형(mesh-type)의 전극(120)이 수평으로 배치되어 있다. 이 전극(120)에는, 제어기(77)에 의한 출력 전압이 제어된 가변 전원(121)이 접속되어 있고, 적당한 전류를 이 전극(120)에 흘림으로써, 처리 용기(2) 내에 원하는 밀도 분포를 가지는 플라즈마를 여기시킬 수가 있다.
상기 실시예의 플라즈마 처리 장치에서는, 어떤 것도, 처리 용기(2)의 상면에 석영 유리 등의 절연재(21)를 통하여 배치시킨 고주파 안테나(7)만으로 고주파 유도를 행하고 있으나, 본 발명은 이러한 실시예에 한정된 것이 아니다. 예를 들어, 도 16에 나타난 바와 같은 구조도 가능하다. 이 장치에서는, 처리 용기(2)의 측벽의 일부를 환상, 또는 서로 둘레 방향으로 소정의 간격을 둔 다수의 절연재(124)로서 구성되어 있다. 이 절연재로서는, 석영 유리나 세라믹스 등이 사용될 수 있다. 이 절연재(124)의 외면에, 다수의 제2의 고주파 안테나(125)가 설치되어 있다. 이들 제2의 고주파 안테나(125)는 바람직하게는 둘레 방향에 같은 간격으로 방사상으로 배치되고, 각각 매칭 회로(126)를 통하여 접속되고, 제어기(77)에 의하여 제어된 고주파 전원(127)으로 부터 고주파 에너지가 인가된다. 이러한 구성에 의하여 처리 용기(2)의 측변 부분으로부터도 플라즈마를 여기할 수가 있기 때문에, 각 안테나에 인가된 고주파 에너지를 조정하므로써, 고밀도로서 균일한 플라즈마를 원하는 밀도 분포로서 처리 용기(2) 내에 발생시킬 수가 있으므로, 보다 높은 정밀도로 플라즈마를 처리할 수가 있다.
도 17에 나타난 예의 플라즈마 처리 장치에서는, 재치대(3)의 상부가 석영 유리 등의 절연재(130)로서 구성되고, 그 하면에 평면 코일형의 고주파 안테나(131)가 배치되어 있다. 이 안테나(131)는 매칭 회로(132)를 통하여 접속된 고주파 전원(133)으로부터 고주파 에너지가, 제어기(77)의 제어에 의하여 인가된다.
이러한 구성에 의하여 처리 용기(2)의 재치대(3)의하면으로 부터도 플라즈마를 여기할 수가 있기 때문에, 각 안테나에 인가된 고주파 에너지를 조정하므로써, 고밀도로서 균일한 플라즈마를 원하는 밀도 분포로서 처리 용기(2) 내에 발생시킬 수가 있고, 보다 높은 정밀도로 플라즈마를 처리할 수 있다.
도 18에 나타난 예의 장치에서는, 재치대(3) 상면 주위에 배치된 포커스 링(6)을 석영 유리나 세라믹스 등의 절연재로서 구성하고, 그 주위에 고주파 안테나(135)를 배치하고, 그 고주파 안테나(135)에 매칭 회로(136)를 통하여 접속시킨 고주파 전원(137)로부터 고주파 에너지가 인가된다. 이러한 구성에 의하여 처리 용기(2)의 재치대(3)의 주위로부터도 플라즈마를 여기할 수가 있기 때문에, 각 안테나에 인가된 고주파 에너지를 조정할 수 있으므로, 고밀도로서 균일한 플라즈마를 원하는 밀도 분포로서 처리 용기(2)내에 발생시킬 수가 있고, 보다 높은 정밀도로 플라즈마를 처리할 수가 있다.
LCD 등의 비교적 큰 면적의 피처리체를 플라즈마 처리하는 경우에는, 도 19에 나타난 바와 같은 다수개(이 예에서는 4개)의 고주파 안테나(7)를 처리 용기(2)의 상면에 배치시킨 절연재(21)의 외벽부에 설치하고, 각각의 고주파 안테나에 매칭 회로(72)를 기워서 접속된 고주파 전원(71)으로부터 고주파 에너지를 인가한 구성을 채용할 수도 있다. 이러한 구성에 의하여, 비교적 큰 면적의 피처리체를 처리하는 대형의 처리 용기(2)로서도 고밀도로서 균일한 고주파 플라즈마를 여기할 수가 있다.
상기 각 실시예에 있어서는, 피처리체(W)를 재치대(3) 상면에 재치하고,처리 용기(2) 상면에 배치시킨 고주파 안테나(7)에 의하여 플라즈마를 여기하는 구성을 채용하고 있으나, 본 발명은 이러한 구성에 한정되지 않는다. 예를 들어, 도 20에 나타난 바와 같은 페이스-다운(face-down)방식을 채용할 수도 있다. 이 장치 구성은, 도 10에 나타난 처리 장치의 각 구성 요소를 거의 뒤집어 배치한 것으로서, 이 예의 페이스 다운 방식의 재치의 경우에는, 피처리체(W)를 하방으로 부터 지지하기 위한 상하로 움직일 수 있는 지지 기구(140) 및 피처리체(W)를 정전 척(4)로부터 끌어내기 위하여 상하로 움직일 수 있는 푸셔 핀 기구(141)를 설치하는 것이 좋다. 이러한 구성을 채용함으로써, 피처리체(W)의 처리면을 미립자 등의 오염으로부터 보호할 수도 있기 때문에, 수율 및 쓰루풋(throughput)을 한층 향상시킬 수가 있다.
한편, 도12에 나타난 바에 의하면, 원통형의 처리 용기(2)를 수직 방향으로 배치하고, 그 양면에 절연재(21)를 배치하고, 각 절연재(21)의 외벽면에 각각 고주파 안테나(7)를 설치한 구성으로, 처리 용기(2)의 중앙에 거의 수직으로 배치한 재치대(3)의 양면에 정전척(4)를 통하여 처리체(W)를 흡착 고정한 구성을 채용하여도 된다. 이러한 구성을 채용하므로써, 다수개의 피처리체(W)를 동시에 처리할 수 있는 동시에, 피처리체(W)의 피처리면이 수직으로 배치되어 있기 때문에, 피처리면이 미립자 등의 오염으로부터 보호되고, 수율 및 쓰루풋을 한층 향상시킬 수 있다.
도 22는, 본 발명에 따른 플라즈마 처리 장치의 또 하나의 실시예를 나타낸 것이다. 이 실시예에 있어서는, 재치대(3)가 처리 용기(2)의 벽면에는 고정되어 있지 않다. 이 재치대(3)는 상하로 움직일 수 있는 승강 기구(150) 위에 재치되고, 재치대(3)에 냉열원이나 전열 가스를 공급하는 관로 또는 각종 전기적 회선은 이 승강 기구(150)의 내부에 배치되어 있다. 이러한 구성을 채용하므로써, 재치대(3) 상의 웨이퍼(W)의 피처리면을 플라즈마 발생원인 고주파 안테나(7)에 대하여 상하로 움직여 조정하므로써, 최적의 플라즈마 밀도 분포를 가지는 공간에 피처리면을 이동시켜 처리를 행할 수가 있다.
다음으로 고주파 유도 방식을 채용한 플라즈마 처리 장치의 속성을 확인하기 위하여 행한 각종 실험에 대하여 설명한다. 실험에는 도 23에 나타난 장치를 사용했다. 도면중에, 부호(201)은, 웨이퍼(W)를 재치하기 위한 재치대(203)가 그 내부에 배치됨과 동시에, 측벽에는 가스 도입구(202)가 형성된 챔버를 나타낸다.
이 챔버는 상부가 하부에 비하여 작은 직경으로 된 원통형을 하고 있다. 부호(204)는 챔버(201)의 상면을 형성하는 유리판, 그리고 부호(205)는 평면상의 코일로 된 안테나를 나타낸다. 부호(206), (207)은 각각 안테나(205), 재치대(203)에 접속된 고주파전원이다. 이제 챔버(201)의 상부 직경이 330mm, 하부 직경이 360mm, 유리판(204)의 두께는 32mm로 형성되어 있고, 유리판(204)의 하면과 웨이퍼(W)의 상면과의 간격은 20mm로 설정되어 있다.
우선 이 장치에서는 유도 전계의 강도 분포에 비례한 밀도의 플라즈마가 생성된다고 가정하여, 다음 식의 확산 방정식을 적용하여 그 확산상태의 수치 계산을 행하였다. 이제 내부의 유속은 없다고 하고, N(r, θ, z)는 플라즈마 밀도, Q(r, θ, z)는 플라즈마 생성량, 그리고, D(r, θ, z)는 확산 계수이다.
dN/dt-D Δ N=Q(r, θ, z)………(1)
이 결과를, 세로 축에 수직 방향의 규격화 플라즈마 밀도를, 가로축에 웨이퍼의 직경 방향의 위치를 나타낸 도 24에 나타내었다. 챔버의 상면으로부터의 Z방향의 위치를 Z로 하고, 도면 중의 ○는 z=5cm인 경우의 확산 상태, △, □는 각각 z=6.7cm인 경우의 확산 상태를 나타내고 있다. 이 도면에 의하여 z=7cm인 경우에는, 실질적으로 웨이퍼의 직경 방향으로 균일하게 플라즈마가 확산하는 결과가 얻어지고, 적당한 확산 거리에서 균일성이 좋은 플라즈마를 기대할 수 있음을 확인시켜 준다.
다음으로 안테나(206)에 13.56MHz의 고주파 전압을 인가함과 동시에, 재치대(203)에 400Khz의 직류 전압을 인가하고, 한쪽의 가스도입구(202)로부터 Ar 가스를 30sccm-400sccm의 유량으로 공급하고, 전자 밀도와 전자 온도의 압력 의존성을 측정하였다. 이 측정은, 다른 쪽의 가스 도입구(202)에 랑그뮈르 프로브(Langmuir probe)(208)를 삽입하여 행한다.
이 결과를, 세로 축에 전자 밀도 및 전자 온도를, 또 가로 축에 챔버의 압력을 나타낸 도 25에 나타내었다. 도면 중의 ○는 전자 밀도의 압력 의존성, △는 전자 온도의 압력 의존성을 각각 나타내고 있다. 이 도면에 의하여 전자 밀도는 압력의 증가에 따라 증가하고, 또 전자 온도는 압력의 증가에 비례하여 감소함을 확인하였다.
또한 같은 방법을 사용하여, 전자 밀도와 전자 온도의 전력 의존성에 대하여 측정하였다. 이 결과를 도 26에 나타내었다. 도면 중 ○는 전자 밀도에 전력 의존성, △는 전자 온도의 전력 의존성을 각각 나타내고 있다. 이 도면에 의해, 전자 밀도는 전력의 증가와 비례하여 증가하고, 또 전자 온도는 전력의 증가에 비례하여 점점 감소하고 있으나 거의 일정하다는 것을 확인하였다.
또한 이온 포화 전류의 직경 방향 분포를 압력 및 Ar 가스의 유량을 변화시켜 측정하였다. 이 결과를, 세로 축에 이온 포화 전류를 가로 축에 웨이퍼의 반경 방향의 위치를 나타낸 도 27에 나타내었다. 도면 중 ○는 Ar 가스 유량 30sccm, 압력 3.5Torr, △는 Ar가 가스 유량 100sccm, 압력 10.5mTorr, 그리고, □는 Ar 가스 유량 180sccm, 압력 18mTorr인 경우를 각각 나타내고 있다. 이제 RF 전력은 1000W로 하였다. 이온 포화 전류는 CVD, 에칭의 균일성에 대응한 것이나, 이 도면으로부터 압력이 낮아짐과 동시에 중심 영역의 균일성이 좋아지고 있음이 확인되었다.
다음으로 Ar의 발광 스펙트럼의 압력 의존성을, 재치대(203) 위 1-2cm의 위치에 발생시킨 플라즈마로부터의 발광을 챔버(201) 측벽에 설치한 창과 렌즈를 통하여 집광하여 분광하므로써, 발광 파장별로 측정하였다.
발광 파장별로 각각의 최대 강도에서 규격화한 결과를, 세로 축에 발광 강도를, 가로 축에 챔버 내의 압력을 도 28에 나타내었다. 상기 도면 중의 ■는 Ar 래디컬의 파장 810, 811nm에서의 발과, □는 Ar 래디컬의 파장 727-751nm에서의 발광, ◆는 Ar 래디컬의 파장 394-430nm에서의 발광, ◇는 Ar 이온의 460, 465nm에서의 발광을 각각 나타내고 있다.
이 결과에 의하여 Ar 래디컬로부터의 발광은 Ar 이온으로부터의 발광에 비하여 훨씬 강한 것을 확인하였다. 또 Ar 래디컬의 발광 강도는 압력 10mTorr 전후에서 피크를 가짐을 확인하였다. 이들 결과에 의하여 압력이 높아지면 파장이 긴 Ar 래디컬이 생성되기 때문에 전자온도가 저하될 것이며, 즉 압력이 낮아질수록, 전자 온도는 높아짐을 추측할 수 있다. 이 추측의 정당성이 도 24에 의하여 확인되었다.
다음으로 챔버(201)내에 CHF3가스를 공급하고, 발광 종류별로 발광 강도의 압력 의존성을 측정하였다. 이 결과를, 세로 축에 발광 강도를, 가로 축에 챔버 내의 압력을 나타낸 도 29에 나타내었다. 도면중 ◇는 C(탄소, X는 H(수소), □는 F(불소)를, 그리고 ○는 CF, △는 CF2를 각각 나타내고 있다. 상기 CF, CF2는 반응 생성물이다.
이 도면에 의하여 압력을 낮춤에 따라서 CF2래디컬의 발광 강도는 단조롭게 감소하는 한편, CF의 발광 강도는 11mTorr 부근에서 피크를 가짐을 확인하였다.
또 C, H, F 래디컬의 발광 강도는 압력을 낮춤에 따라 큰 폭으로 증가한 것을 확인하였다.
다음으로, 상기 실시예에 의한 다수개의 배기관(81)을 사용하지 않고 배기계의 변형을 도 30를 참조하여 설명한다.
재치대(3)의 외주면과 챔버(2)의 내주면과의 사이 공간에, 가운데에 완충실을 둠으로써 가운데가 빈 원통형의 케이스 본체(87)가 설치되어 있다. 이 본체(87)의 하면에는, 챔버(2)의 아래벽을 관통한 1개의 배기관(도시 않됨)의 상단이, 완충실과 연결하여 통하도록 접속되어 있다. 이 본체의 상벽에는 서로 둘레 방향으로 소정의 간격을 둔 다수개의 배기공(89)이, 완충실과 챔버(2) 내를 연결하여 통하도록 형성되어 있다. 각 배기공(89)에는, 이 구멍을 개폐할 수 있는 기계적 셔터(88)가 설치되어 있다. 이 셔터들은, 외부로부터, 공지의 방법에 의하여, 그 개구도를 임의로 조절할 수 있도록 하는 제어 기구(도시 않됨)에 접속되어 있다. 그 결과, 이 개구도를 조절함으로써, 챔버 내의 가스를 임의로 유량으로 배기할 수가 있다.
둥근 고리 모양의 케이스 본체(87)를 여분으로 사용하는 대신에, 재치대(3)의 외주면과 챔버(2)의 내주면과의 사이에, 다수의 배기공이 형성된 고리 모양의 판을 수평으로 길게 연장하고, 이 판과, 재치대(3)의 외주면과, 챔버(2)의 내주면 및 저면과, 비슷한 완충실을 규정하도록 해도 좋다.
상기 실시예의 처리 장치에서는, 어떤 것도 도 31a에 나타난 바와 같이 나선형 코일(7)의 내측단(7a)과 외측단(7b)의 사이에 고주파 전원(71) 및 매칭 회로(72)를 접속하고 있으나, 본 발명의 장치는 이와 같은 배선에 한정되지 않는다.
예를 들어, 도 31b에 나타난 바와 같이, 나선형 코일(7)의 외측단(7b)에만 고주파 전원(71) 및 매칭 회로(72)를 접속해도 된다. 이러한 배선으로 한다면, 처리 용기 내가 보다 저압의 분위기에서도, 양호한 고주파 유도 플라즈마를 발생시킬 수가 있다.
이상에 있어서, 플라즈마 처리로서 에칭 처리의 예를 들어 설명했지만, 본 발명은 플라즈마 CVD 장치, 플라즈마 애슁(ashing) 장치, 플라즈마 스퍼터링(sputtering) 장치 등 다른 플라즈마 처리 장치에도 적용할 수 있다. 이제 고주파 안테나는, 예를 들어 표면을 내식 처리하여 챔버 내에 설치하도록 하여도 좋고, 처리체로서는 반도체 웨이퍼에 한하지 않고, LCD 기판 등으로 하여도 좋다.

Claims (15)

  1. 가스 유입 포트 및 가스 배출 포트를 가지는 챔버와; 상기 챔버 내에 배치된 피처리면을 가지는 피처리체를 지지하는 지지수단과; 상기 지지 수단에 의해 지지되는 피처리체의 피처리면에 대하여 소정의 간격을 두고 설치된 평면상의 코일과; 상기 코일에 고주파 전류를 공급하여, 상기 코일과 상기 지지 수단 사이의 상기 챔버 내에 플라즈마를 발생시키는 고주파 전원 수단과; 피처리체를 둘러싸도록 상기 지지 수단에 설치되고, 피처리체의 피처리면보다도 상기 코일 방향으로 돌출하며, 전기 절연체 또는 고저항체를 포함하는 돌출부를 가지며, 플라즈마를 피처리체의 피처리면과 거의 평행한 방향으로 집중시키기 위한 지향 수단과; 상기 지향 수단은 전기 절연체 또는 고 오믹 저항체로 구성된 외환상부재와, 상기 외환상부재와 피처리체 사이에 배치되고 도체로 구성되는 내환상부재를 가지는 플라즈마 처리장치.
  2. 제1항에 있어서, 상기 외환상부재는 상기 내환상부재 보다 상기 코일 방향으로 돌출하여 있는 플라즈마 처리장치.
  3. 제1항에 있어서, 상기 지지수단의 온도를 조절하기 위한 온도 조절 수단과; 상기 온도 조절 수단의 열을 피처리체와, 상기 지지 수단과 피처리체 사이의 부분에 전달하는, 열전달 가스를 공급하기 위한 가스 공급 수단과; 공급된 가스의 압력을 검출하고 이 압력에 대응하여 신호를 내보내는 압력검출 수단과; 상기 압력 검출 수단으로부터의 신호에 근거하여 상기 가스 공급 수단의 가스 공급량을 조절하여, 피처리체에 가스의 열전달을 제어하는 제어 수단을 더욱 구비하는 플라즈마 처리장치.
  4. 제3항에 있어서, 상기 제어 수단은 피처리체의 온도가 처리 중 일정하도록 가스 공급량을 제어하는 플라즈마 처리장치.
  5. 제3항에 있어서, 상기 지지 수단의 온도를 검출하고 검출 온도에 대응한 신호를 내보내는 온도 검출 수단을 더욱 구비하며, 상기 제어 수단은 상기 온도 검출 수단과 상기 압력 검출 수단으로부터의 신호에 근거하여 상기 가스공급 수단의 가스 공급량을 조절하는 플라즈마 처리장치.
  6. 제1항에 있어서, 상기 지지 수단은 피처리체를 정전기적으로 흡착하여 지지하기 위한 정전 척을 포함하며, 상기 정전 척은 반응성 이온에 대항하는 고저항을 가지는 물질로 구성되는 바깥층과 상기 바깥층에 설치된 전극을 가지는 플라즈마 처리장치.
  7. 제6항에 있어서, 상기 정전 척의 상기 바깥층은 세라믹, 석영 또는 절연성 고분자로 형성되는 플라즈마 처리장치.
  8. 제1항에 있어서, 상기 지지 수단은 하우징의 대향 전극을 가지며, 상기 전극에 1 내지 3MHz의 주파수의 바이어스용 고주파 에너지가 인가되는 플라즈마 처리장치.
  9. 제1항에 있어서, 상기 지지수단을 피처리체의 처리 중에 -50 내지 -150℃로 냉각하기 위한 구성 수단을 특징으로 하는 플라즈마 처리장치.
  10. 제1항에 있어서, 상기 챔버로 상기 가스 유입 포트를 통하여 CH4가스, CF4가스, 그리고 이들 가스에 유량비로서 10% 미만의 O2가스 또는 50% 미만의 Ar가스로 된 혼합 가스, 또는 NF3가스와, CH4가스, O2가스 또는 Ar가스로 된 혼합 가스를 공급하여, 피처리체가 에칭 처리하기 위한 구성수단을 특징으로 하는 플라즈마 처리장치.
  11. 제10항에 있어서, 에칭 처리 중 상기 챔버의 내면을 50 내지 100℃로 가열하기 위한 구성 수단을 특징으로 하는 플라즈마 처리장치.
  12. 가스 유입 포트 및 가스 배출 포트를 가지는 챔버와; 상기 챔버 내에 배치된 피처리면을 가지는 피처리체를 지지하는 지지수단과; 상기 지지 수단에 의해 지지되는 피처리체의 피처리면에 대하여 소정의 간격을 두고 설치된 평면상의 코일과; 상기 코일에 고주파 전류를 공급하여, 상기 코일과 상기 지지 수단 사이의 상기 챔버 내에 플라즈마를 발생시키는 고주파 전원 수단과; 피처리체를 둘러싸도록 상기 지지 수단에 설치되고, 피처리체의 피처리면보다도 상기 코일 방향으로 돌출한 돌출부를 가지며, 플라즈마를 피처리체의 피처리면과 거의 평행한 방향으로 집중시키기 위한 지향 수단과; 상기 지향 수단은 전기 절연체 또는 고 오믹 저항체로 구성된 고리 모양의 플라즈마 포커스 링을 가지는 것과; 상기 포커스 링은 피처리체에 가까와짐에 따라 상기 코일로부터 멀어지도록 단턱이 진 돌출면을 가지는 플라즈마 처리장치.
  13. 제12항에 있어서, 상기 포커스 링의 상기 돌출면의 단턱은 하면과 상기 하면으로부터 상기 코일 방향으로 상기 하면에 관해서 약 90도의 각도로 연장된 상면을 가지는 플라즈마 처리장치.
  14. 가스 유입 포트 및 가스배출 포트를 가지는 챔버와; 상기 챔버 내에 배치된 피처리면을 가지는 피처리체를 지지하는 지지수단과; 상기 지지 수단에 의해 지지되는 피처리체의 피처리면에 대하여 소정의 간격을 두고 설치된 평면상의 코일과; 상기 코일에 고주파 전류를 공급하여, 상기 코일과 상기 지지 수단 사이의 상기 챔버 내에 플라즈마를 발생시키는 고주파 전원 수단과; 피처리체를 둘러싸도록 상기 지지 수단에 설치되고, 피처리체의 피처리면보다도 상기 코일 방향으로 돌출한 돌출부를 가지며, 플라즈마를 피처리체의 피처리면과 거의 평행한 방향으로 집중시키기 위한 지향 수단과; 상기 지향 수단은 전기 절연체 또는 고 오믹 저항체로 구성된 고리 모양의 플라즈마 포커스 링을 가지는 것과; 상기 포커스 링은 곡선 모양의 윤곽을 이루는 돌출면을 가지는 것과; 상기 포커스 링의 상기 돌출면의 상기 곡선 모양의 윤곽은 피처리체에 가까와짐에 따라 상기 코일로부터 멀어지는 하면과, 상기 하면으로부터 상기 코일 방향으로 연장된 상면을 가지는 플라즈마 처리장치.
  15. 가스 유입 포트 및 가스 배출 포트를 가지는 챔버와; 상기 챔버 내에 배치된 피처리면을 가지는 피처리체를 지지하는 지지수단과; 상기 지지 수단에 의해 지지되는 피처리체의 피처리면에 대하여 소정의 간격을 두고 설치된 전극과; 상기 전극에 고주파 전류를 공급하여, 상기 전극과 상기 지지 수단 사이의 상기 챔버 내에 플라즈마를 발생시키는 고주파 전원 수단과; 피처리체를 둘러싸도록 상기 지지 수단에 설치되고, 피처리체의 피처리면보다도 상기 전극 방향으로 돌출한 돌출부를 가지며, 플라즈마를 피처리체의 피처리면과 거의평행한 방향으로 집중시키기 위한 지향 수단과; 상기 지향 수단은 전기 절연체 또는 고 오믹 저항체로 구성된 외환상부재와, 상기 외환상부재와 피처리체 사이에 배치되고 도전체로 구성되는 내환상부재를 가지는 플라즈마 처리장치.
KR1019940025300A 1993-10-04 1994-10-04 플라즈마처리장치 KR100264445B1 (ko)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
JP27314093A JP3173693B2 (ja) 1993-10-04 1993-10-04 プラズマ処理装置及びその方法
JP27313993A JP3173692B2 (ja) 1993-10-04 1993-10-04 プラズマ処理方法
JP93-273139 1993-10-04
JP93-273138 1993-10-04
JP27313893A JP3173691B2 (ja) 1993-10-04 1993-10-04 プラズマ処理装置
JP93-273140 1993-10-04
JP93-284207 1993-10-20
JP93-284211 1993-10-20
JP28420793A JP3193815B2 (ja) 1993-10-20 1993-10-20 プラズマ処理装置およびその制御方法
JP28421193A JP3276023B2 (ja) 1993-10-20 1993-10-20 プラズマ処理装置の制御方法

Publications (2)

Publication Number Publication Date
KR950012614A KR950012614A (ko) 1995-05-16
KR100264445B1 true KR100264445B1 (ko) 2000-11-01

Family

ID=27530569

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940025300A KR100264445B1 (ko) 1993-10-04 1994-10-04 플라즈마처리장치

Country Status (2)

Country Link
US (1) US5529657A (ko)
KR (1) KR100264445B1 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100466968B1 (ko) * 1997-05-22 2005-05-19 삼성전자주식회사 반도체공정챔버의웨이퍼고정장치
KR100588041B1 (ko) * 1998-05-18 2006-06-09 마쯔시다덴기산교 가부시키가이샤 진공 처리 장치 및 이것을 이용한 진공 처리 방법
KR100893909B1 (ko) 2000-12-22 2009-04-21 에이에스엠 아메리카, 인코포레이티드 기판 홀더의 제조 방법
KR100915740B1 (ko) * 2006-10-02 2009-09-04 도쿄엘렉트론가부시키가이샤 가스 처리 장치, 처리 가스 공급 방법 및 컴퓨터 판독 가능한 기억 매체
KR100923594B1 (ko) * 2006-11-20 2009-10-23 어플라이드 머티어리얼스, 인코포레이티드 접지 부재 인테그리티 표시기를 갖춘 플라즈마 프로세싱챔버 및 그의 사용 방법
KR101034422B1 (ko) * 2001-10-26 2011-05-12 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 애노드 펄스에 의한 플라즈마 도핑을 위한 방법 및 장치
KR101206982B1 (ko) * 2006-05-19 2012-11-30 주식회사 원익아이피에스 진공처리장치

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW323387B (ko) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5847918A (en) * 1995-09-29 1998-12-08 Lam Research Corporation Electrostatic clamping method and apparatus for dielectric workpieces in vacuum processors
US6181555B1 (en) 1995-09-29 2001-01-30 Intel Corporation Cooling system for integrated circuit chips in a portable computer
US5810932A (en) * 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
US6786998B1 (en) * 1995-12-29 2004-09-07 Cypress Semiconductor Corporation Wafer temperature control apparatus and method
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
US5753566A (en) * 1996-05-23 1998-05-19 Taiwan Semiconductor Manufactured Company, Ltd. Method of spin-on-glass etchback using hot backside helium
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5759280A (en) * 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
EP0958401B1 (en) 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
DE69736081T2 (de) * 1996-09-27 2007-01-11 Surface Technoloy Systems Plc Plasmabearbeitungsvorrichtung
GB9620151D0 (en) * 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6217655B1 (en) * 1997-01-31 2001-04-17 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
JP3077623B2 (ja) * 1997-04-02 2000-08-14 日本電気株式会社 プラズマ化学気相成長装置
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
KR100457497B1 (ko) * 1997-05-21 2005-02-05 삼성전자주식회사 플라즈마처리장치의엣지커버장치
GB9711273D0 (en) 1997-06-03 1997-07-30 Trikon Equip Ltd Electrostatic chucks
US5986874A (en) * 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US5937541A (en) * 1997-09-15 1999-08-17 Siemens Aktiengesellschaft Semiconductor wafer temperature measurement and control thereof using gas temperature measurement
WO1999014788A1 (en) * 1997-09-16 1999-03-25 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
KR100557579B1 (ko) * 1997-11-05 2006-05-03 에스케이 주식회사 박막제조장치
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
KR100256624B1 (ko) * 1997-12-30 2000-05-15 변유량 오옴익 가열에 의한 두부의 제조방법
US6391786B1 (en) * 1997-12-31 2002-05-21 Lam Research Corporation Etching process for organic anti-reflective coating
US6125789A (en) * 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
US6516742B1 (en) * 1998-02-26 2003-02-11 Micron Technology, Inc. Apparatus for improved low pressure inductively coupled high density plasma reactor
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP2000049216A (ja) * 1998-07-28 2000-02-18 Mitsubishi Electric Corp プラズマ処理装置および当該装置で用いられる静電チャック吸着方法
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6136712A (en) 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
US6297163B1 (en) 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
KR100271773B1 (ko) * 1998-10-01 2001-02-01 윤종용 건식식각장치용 배기일렉트로드 및 이를 포함하는 반도체장치제조용 건식식각장치의 공정챔버
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6462928B1 (en) 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
WO2000070116A1 (en) 1999-05-19 2000-11-23 Vosen Steven R Low pressure stagnation flow reactors with a flow barrier
JP2001068538A (ja) * 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6867859B1 (en) * 1999-08-03 2005-03-15 Lightwind Corporation Inductively coupled plasma spectrometer for process diagnostics and control
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
JP4394778B2 (ja) * 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6490144B1 (en) 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
US6363882B1 (en) 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
JP3990867B2 (ja) * 2000-01-31 2007-10-17 キヤノン株式会社 堆積膜形成装置および堆積膜形成方法
US6638359B2 (en) * 2000-01-31 2003-10-28 Canon Kabushiki Kaisha Deposited film forming apparatus and deposited film forming method
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US20020078893A1 (en) * 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
US6489249B1 (en) * 2000-06-20 2002-12-03 Infineon Technologies Ag Elimination/reduction of black silicon in DT etch
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
WO2002033729A2 (en) * 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
JP4657473B2 (ja) * 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
EP1391140B1 (en) * 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP2003060019A (ja) * 2001-08-13 2003-02-28 Hitachi Ltd ウエハステージ
US7199328B2 (en) * 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
US6593222B2 (en) * 2001-09-07 2003-07-15 Lattice Corporation Method to improve the reliability of thermosonic gold to aluminum wire bonds
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
JP3727878B2 (ja) * 2001-11-14 2005-12-21 三菱重工業株式会社 金属膜作製装置
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
TW200626020A (en) * 2001-12-13 2006-07-16 Tokyo Electron Ltd Ring mechanism, and plasma processor using the ring mechanism
KR100470224B1 (ko) * 2002-02-05 2005-02-05 주성엔지니어링(주) 매칭박스 고정장치를 가지는 척
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP4157718B2 (ja) * 2002-04-22 2008-10-01 キヤノンアネルバ株式会社 窒化シリコン膜作製方法及び窒化シリコン膜作製装置
JP2004014752A (ja) * 2002-06-06 2004-01-15 Tokyo Electron Ltd 静電チャック、被処理体載置台およびプラズマ処理装置
JP2004047696A (ja) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd プラズマドーピング方法及び装置、整合回路
US6963043B2 (en) * 2002-08-28 2005-11-08 Tokyo Electron Limited Asymmetrical focus ring
US7255774B2 (en) * 2002-09-26 2007-08-14 Tokyo Electron Limited Process apparatus and method for improving plasma production of an inductively coupled plasma
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
KR101141488B1 (ko) * 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
TWI488236B (zh) * 2003-09-05 2015-06-11 Tokyo Electron Ltd Focusing ring and plasma processing device
US7338578B2 (en) * 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
JP3981091B2 (ja) * 2004-03-01 2007-09-26 株式会社東芝 成膜用リングおよび半導体装置の製造装置
US20090321247A1 (en) * 2004-03-05 2009-12-31 Tokyo Electron Limited IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
US20050193951A1 (en) * 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
FR2875054B1 (fr) * 2004-09-08 2006-12-01 Cit Alcatel Support de substrats minces
GB0424371D0 (en) * 2004-11-04 2004-12-08 Trikon Technologies Ltd Shielding design for backside metal deposition
JP4350695B2 (ja) * 2004-12-01 2009-10-21 株式会社フューチャービジョン 処理装置
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
JP4336320B2 (ja) 2005-02-25 2009-09-30 キヤノンアネルバ株式会社 ウエハホルダ
CN101495262B (zh) * 2005-03-11 2014-11-12 魄金莱默有限公司 等离子体及其使用方法
JP4705816B2 (ja) 2005-07-27 2011-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR20080028498A (ko) * 2005-08-22 2008-03-31 도카로 가부시키가이샤 열방사 특성 등이 우수한 용사 피막 피복 부재 및 그 제조방법
US20070066062A1 (en) * 2005-09-20 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Landing uniformity ring for etch chamber
US20070211402A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Substrate processing apparatus, substrate attracting method, and storage medium
US7850864B2 (en) * 2006-03-20 2010-12-14 Tokyo Electron Limited Plasma treating apparatus and plasma treating method
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7763147B1 (en) * 2006-05-15 2010-07-27 Lam Research Corporation Arc suppression plate for a plasma processing chamber
KR20080001164A (ko) * 2006-06-29 2008-01-03 주식회사 하이닉스반도체 홀 휨 방지를 위한 플라즈마식각장치 및 그를 이용한 식각방법
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP4948278B2 (ja) * 2006-08-30 2012-06-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP5291875B2 (ja) * 2006-11-01 2013-09-18 富士フイルム株式会社 プラズマ装置
US8941037B2 (en) * 2006-12-25 2015-01-27 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
JP2008288437A (ja) * 2007-05-18 2008-11-27 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
US20090025636A1 (en) * 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
JP5203986B2 (ja) * 2009-01-19 2013-06-05 東京エレクトロン株式会社 フォーカスリングの加熱方法、プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
CN102341902A (zh) * 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
JP5601794B2 (ja) * 2009-05-29 2014-10-08 株式会社東芝 プラズマエッチング装置
JP5592098B2 (ja) * 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5394360B2 (ja) * 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
KR101926571B1 (ko) * 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5697571B2 (ja) 2011-10-06 2015-04-08 株式会社東芝 テンプレートの製造装置及びテンプレートの製造方法
US10460968B2 (en) * 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US10832931B2 (en) * 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
US9741543B2 (en) 2014-07-21 2017-08-22 Lam Research Corporation Multi-range voltage sensor and method for a voltage controlled interface of a plasma processing system
KR102424818B1 (ko) * 2015-05-27 2022-07-25 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 포커스 링
US20170002465A1 (en) 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
JP6435247B2 (ja) * 2015-09-03 2018-12-05 新光電気工業株式会社 静電チャック装置及び静電チャック装置の製造方法
US20180233321A1 (en) * 2017-02-16 2018-08-16 Lam Research Corporation Ion directionality esc
WO2019053869A1 (ja) * 2017-09-15 2019-03-21 株式会社Kokusai Electric 基板処理装置
CN113451191B (zh) * 2020-06-17 2022-11-11 重庆康佳光电技术研究院有限公司 定位装置及蚀刻装置
CN112435913B (zh) * 2020-11-23 2024-04-12 北京北方华创微电子装备有限公司 半导体设备及其下电极
IL281747B2 (en) * 2021-03-22 2024-04-01 N T Tao Ltd System and method for creating plasma with high efficiency
US20230066418A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for a plasma-based semiconductor processing tool

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61119686A (ja) * 1984-11-14 1986-06-06 Teru Ramu Kk 平行平板型プラズマエツチング装置
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
EP0379828B1 (en) * 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR0165898B1 (ko) * 1990-07-02 1999-02-01 미다 가쓰시게 진공처리방법 및 장치
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5298465A (en) * 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
US5246532A (en) * 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JPH04333228A (ja) * 1991-05-09 1992-11-20 Mitsubishi Electric Corp ドライエッチング装置
JPH04362091A (ja) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JPH05259122A (ja) * 1992-03-11 1993-10-08 Matsushita Electric Ind Co Ltd ドライエッチング装置
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100466968B1 (ko) * 1997-05-22 2005-05-19 삼성전자주식회사 반도체공정챔버의웨이퍼고정장치
KR100588041B1 (ko) * 1998-05-18 2006-06-09 마쯔시다덴기산교 가부시키가이샤 진공 처리 장치 및 이것을 이용한 진공 처리 방법
KR100893909B1 (ko) 2000-12-22 2009-04-21 에이에스엠 아메리카, 인코포레이티드 기판 홀더의 제조 방법
KR101034422B1 (ko) * 2001-10-26 2011-05-12 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 애노드 펄스에 의한 플라즈마 도핑을 위한 방법 및 장치
KR101206982B1 (ko) * 2006-05-19 2012-11-30 주식회사 원익아이피에스 진공처리장치
KR100915740B1 (ko) * 2006-10-02 2009-09-04 도쿄엘렉트론가부시키가이샤 가스 처리 장치, 처리 가스 공급 방법 및 컴퓨터 판독 가능한 기억 매체
KR100923594B1 (ko) * 2006-11-20 2009-10-23 어플라이드 머티어리얼스, 인코포레이티드 접지 부재 인테그리티 표시기를 갖춘 플라즈마 프로세싱챔버 및 그의 사용 방법
US8004293B2 (en) 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same

Also Published As

Publication number Publication date
US5529657A (en) 1996-06-25
KR950012614A (ko) 1995-05-16

Similar Documents

Publication Publication Date Title
KR100264445B1 (ko) 플라즈마처리장치
US6024827A (en) Plasma processing apparatus
US5571366A (en) Plasma processing apparatus
US5935373A (en) Plasma processing apparatus
EP0653775B1 (en) Microwave plasma processing apparatus and method
US20020043338A1 (en) Plasma etching apparatus and plasma etching method
US20050039854A1 (en) Plasma etching method and plasma etching unit
JPH08227800A (ja) プラズマ処理装置及びプラズマ処理方法
JP3173693B2 (ja) プラズマ処理装置及びその方法
JP3276023B2 (ja) プラズマ処理装置の制御方法
JP3294690B2 (ja) プラズマエッチング装置の制御方法
JP3193815B2 (ja) プラズマ処理装置およびその制御方法
JP3045443B2 (ja) プラズマ処理装置
JP3045444B2 (ja) プラズマ処理装置およびその制御方法
JP3173691B2 (ja) プラズマ処理装置
JP3662212B2 (ja) プラズマ処理装置
JP3193575B2 (ja) マイクロ波プラズマ処理装置
JP7200438B1 (ja) プラズマ処理装置およびプラズマ処理方法
JP3372244B2 (ja) プラズマ処理装置
WO2000045427A1 (fr) Procede et dispositif de traitement au plasma
JP3662211B2 (ja) プラズマ処理装置
JP3732287B2 (ja) プラズマ処理装置
JP3238137B2 (ja) プラズマ処理室のクリーニング方法
JP3807957B2 (ja) プラズマ処理方法
JP3642773B2 (ja) プラズマ処理方法およびプラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090525

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee