JP2001068538A - 電極構造、載置台構造、プラズマ処理装置及び処理装置 - Google Patents

電極構造、載置台構造、プラズマ処理装置及び処理装置

Info

Publication number
JP2001068538A
JP2001068538A JP2000168297A JP2000168297A JP2001068538A JP 2001068538 A JP2001068538 A JP 2001068538A JP 2000168297 A JP2000168297 A JP 2000168297A JP 2000168297 A JP2000168297 A JP 2000168297A JP 2001068538 A JP2001068538 A JP 2001068538A
Authority
JP
Japan
Prior art keywords
heat transfer
electrode
heat
mounting table
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000168297A
Other languages
English (en)
Inventor
Mitsuaki Komino
光明 小美野
Yasuharu Sasaki
康晴 佐々木
Yasushi Tsuboi
恭 坪井
Hideaki Amano
秀昭 天野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000168297A priority Critical patent/JP2001068538A/ja
Priority to DE60026996T priority patent/DE60026996T2/de
Priority to PCT/JP2000/004011 priority patent/WO2000079575A1/ja
Priority to KR10-2001-7016361A priority patent/KR100452649B1/ko
Priority to EP00937315A priority patent/EP1205964B1/en
Priority to TW089112182A priority patent/TW483087B/zh
Priority to US09/667,770 priority patent/US7033444B1/en
Publication of JP2001068538A publication Critical patent/JP2001068538A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2002Controlling environment of sample

Abstract

(57)【要約】 【課題】 200℃以上、例えば350〜500℃程度
の高温域においてもシール性が劣化せずに伝熱ガスが漏
れることの少ない電極構造を提供する。 【解決手段】 真空引き可能になされた処理容器26内
でプラズマを用いて被処理体Wに対して所定の処理を行
なうプラズマ処理装置に用いられる電極構造において、
加熱ヒータ部44を内部に有する電極部38と、この電
極部に接合されて内部に前記電極部を冷却する冷却ジャ
ケット58を有する冷却ブロック40と、前記電極部と
前記冷却ブロックとの間に形成される僅かな間隙の電極
側伝熱空間62,64をシールするための耐熱性メタル
シール部材66A〜66Gと、前記電極側伝熱空間に伝
熱ガスを供給する電極側伝熱ガス供給手段94とを備え
る。これにより、200℃以上、例えば350〜500
℃程度の高温域においてもシール性が劣化せずに伝熱ガ
スが漏れることのないようする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、電極構造、載置台
構造、処理装置及びプラズマ処理装置に関する。
【0002】
【従来の技術】一般に、半導体集積回路の製造工程にお
いては、被処理体である例えば半導体ウエハに対して成
膜処理、エッチング処理、酸化拡散処理等の各種処理が
繰り返し行なわれる。この種の処理の中で、例えばベア
ウエハに対して酸化膜を成膜する場合のように温度によ
るダメージを受ける素子や構造、或いは部材がウエハ中
に存在しない場合には、例えば800〜900℃の高温
で熱処理を行なっても問題はないが、例えば回路素子を
多層化する際の層間絶縁膜等を成膜する場合には、上述
のように800〜900℃の高温にウエハを加熱すると
下層の回路素子や構造が熱的ダメージを受けるので、そ
れ程高くない温度、例えば300℃程度の低温域でプラ
ズマを用いてCVD(Chemical Vapor
Deposition)成膜を施すことが行われてい
る。
【0003】図24は上記したようなプラズマCVDを
行なう従来装置の一例を示す概略構成図である。まず、
真空引き可能になされた処理容器2内には、載置台を兼
ねる下側電極部4が設置されている。この下側電極部4
は、例えばシースヒータのような加熱ヒータ部6が絶縁
状態で埋設されている。具体的には、上記加熱ヒータ部
6を例えばアルミニウム等により鋳込むことによりこれ
を埋設している。そして、このアルミニウム製の下側電
極部4の上面に、静電チャッキング用のチャック電極を
セラミックス材に埋設してなるセラミック製の静電チャ
ック8をろう付け等により接合して設けており、この上
面に半導体ウエハWを静電力により吸着保持するように
なっている。
【0004】また、上記下側電極部4の下部には、内部
に冷却ジャケット10を有する冷却ブロック12が設け
られており、上記冷却ジャケット10と加熱ヒータ部6
とをコントロールすることにより、ウエハWを最適な温
度に維持するようになっている。そして、この下部電極
部4と冷却ブロック12との間を接合したとはいえ、こ
こに僅かな間隙の伝熱空間14が生じて伝熱効率が低下
するので、この伝熱空間14の内外周をOリング等のシ
ール部材16でシールし、ここに例えばArガス、He
ガス、窒素ガスなどの不活性ガスよりなる伝熱ガスを導
入し、伝熱効率を改善するようになっている。
【0005】また、処理容器2の天井部には、上記下側
電極部4と対向させて上側電極部18が設けられてい
る。この上側電極部18内にも、例えばシースヒータの
ような加熱ヒータ部20が、アルミニウム等により鋳込
むことにより埋設されている。そして、この上側電極部
18に、プラズマ発生用の高周波電圧を印加するための
高周波電源22が接続されており、この上側電極部18
と下側電極部4との間にプラズマを発生させてウエハW
に対して所定の処理を施すようになっている。
【0006】
【発明が解決しようとする課題】ところで、半導体ウエ
ハの処理温度が比較的低い場合、例えば処理温度が略2
00℃以下の場合にはそれ程問題は生じなかったが、例
えば成膜処理において、成膜速度を上げるためや膜質の
改善のために、下層の素子や構造等が熱的ダメージを受
けない範囲で処理温度を、200℃以上、例えば350
〜500℃程度まで上昇させることが要請されてきてい
る。しかしながら、このような高温域になると、伝熱空
間14を封止していたOリング等のシール部材16が熱
分解してシール性が劣化し、封入ガスが漏出するという
問題があった。このため、不活性な伝熱ガスが処理容器
2内へ流出して伝熱効率が劣化する結果、ウエハWの温
度コントロールの精度が劣化したり、或いは、例えば成
膜処理において、伝熱ガスにより処理容器2内の成膜ガ
スが希釈化されることを防止するために、この成膜ガス
の流量を化学量論比以上に増大させなければならず、成
膜ガスが無駄に消費されるという問題もあった。
【0007】また、伝熱空間14内への伝熱ガスの供給
圧力は、圧力センサを高温になる伝熱空間14には設け
られないことから、供給源側で供給圧力をモニタし、こ
れが適正になるように制御しているが、制御対象領域の
圧力を直接検出していないので、ガス圧の制御性が劣ら
ざるを得なかった。尚、本発明者は、特開平6−232
082号公報において、関連技術として低温状態で処理
する際のシール構造を提案したが、これは例えば液体窒
素で冷却するような超低温におけるシール構造である。
本発明は、以上のような問題点に着目し、これを有効に
解決すべく創案されたものである。
【0008】本発明の目的は、200℃以上、例えば3
50〜500℃程度の高温域においてもシール性が劣化
せずに伝熱ガスが漏れることの少ない電極構造、載置台
構造、プラズマ処理装置及び処理装置を提供することに
ある。本発明の他の目的は、内部に介在される絶縁体の
変形そり量を抑制し、また、相手部材と均等に接触でき
るようにし、もって被処理体の温度分布の面内均一性を
改善することができる電極構造及び載置台構造を提供す
ることにある。また、本発明の他の目的は、耐熱性メタ
ルシール部材の表面、或いはこのシール部材が接触する
相手部材の接触面にプロセス温度にて軟化する低融点材
料の軟化金属膜或いは軟化金属層を形成することによ
り、リークパスの発生を大幅に抑制することが可能な電
極構造及び載置台構造を提供することにある。
【0009】また、本発明の他の目的は、耐熱性メタル
シール部材の露出部全表面にフッ化不動体膜を形成する
ことにより、フッ化ガスに対する耐腐食性を向上させる
ことが可能な電極構造及び載置台構造を提供することに
ある。また、本発明の他の目的は、電熱空間を区画する
部材の表面粗さを所定の値以下に設定して熱伝導性を向
上させることにより、被処理体の温度コントロール性の
向上が可能な電極構造及び載置台構造を提供することに
ある。また、本発明の他の目的は、温度が高くなる傾向
にある電極部や載置台の中心部の脱熱を、気体ブロワに
より或いは電極部や載置台を支持する支柱を冷却するこ
とにより促進させることによって、被処理体の温度分布
の面内均一性を向上させることが可能な電極構造及び載
置台構造を提供することにある。
【0010】
【課題を解決するための手段】請求項1に規定する発明
は、真空引き可能になされた処理容器内でプラズマを用
いて被処理体に対して所定の処理を行なうプラズマ処理
装置に用いられる電極構造において、加熱ヒータ部を内
部に有する電極部と、この電極部に接合されて内部に前
記電極部を冷却する冷却ジャケットを有する冷却ブロッ
クと、前記電極部と前記冷却ブロックとの間に形成され
る僅かな間隙の電極側伝熱空間をシールするための耐熱
性メタルシール部材と、前記電極側伝熱空間に伝熱ガス
を供給する電極側伝熱ガス供給手段とを備えるようにし
たものである。このように、耐熱性メタルシール部材を
用いることにより、200℃以上、例えば350〜50
0℃程度の高温域においても電極側伝熱空間のシール性
を高く維持することが可能となる。
【0011】請求項2に規定する発明によれば、真空引
き可能になされた処理容器内でプラズマを用いて被処理
体に対して所定の処理を行なうプラズマ処理装置に用い
られる電極構造において、加熱ヒータ部を内部に有する
電極部と、この電極部に接合されて内部に前記電極部を
冷却する冷却ジャケットを有する冷却ブロックと、前記
電極部と前記冷却ブロックとの接合対向面の内、少なく
とも一方に同心円状或いは螺旋状に設けられる微少な溝
部により形成されたラビリンス伝熱空間と、前記ラビリ
ンス伝熱空間に伝熱ガスを供給する電極側伝熱ガス供給
手段とを備えるように構成する。このように、電極部と
冷却ブロックとの接合面にラビリンス伝熱空間を設ける
ことにより、200℃以上、例えば350〜500℃程
度の高温域においても前記電極部と前記冷却ブロックと
の間に形成される伝熱空間のシール性を高く維持するこ
とが可能となる。
【0012】請求項3に規定する発明によれば、前記電
極部と前記冷却ブロックとの間には絶縁体が介在されて
おり、前記空間は、上側空間と下側空間とに分離されて
いる。この場合にも、上側及び下側空間が共に耐熱性メ
タルシール部材でシールされるので高温域におけるシー
ル性を高く維持することができる。請求項4に規定する
発明によれば、前記絶縁体は、その熱伝導度が80W/
mK以上の材料よりなる。これにより、絶縁体の変形そ
り量を抑制し、この結果、相手部材と均等に接触するこ
とから、被処理体の温度分布の面内均一性を改善するこ
とが可能となる。
【0013】例えば請求項5に規定するように、前記絶
縁体は、窒化アルミニウム(AlN)よりなる。また、
例えば請求項6に規定するように、前記電熱空間を区画
するように上下に接合される部材の接合面の接触率は、
40〜80%の範囲内に設定されている。これにより、
熱抵抗を過度に高くすることなく、伝熱ガスを面内に略
均一に流すことができるので、被処理体の面内温度分布
の均一性を更に改善することが可能となる。
【0014】請求項7に規定するように、前記電熱空間
を区画する部材は、その表面粗さが2.0μm以下に設
定されている。このように、伝熱空間を区画する部材の
表面粗さを所定の値以下に設定することにより、熱伝導
性を向上させることができ、また、被処理体の温度コン
トロール性の向上が可能となる。請求項8に規定する発
明によれば、前記耐熱性メタルシール部材は、内部に低
融点材料を封入した断面リング状の耐熱性金属膜よりな
る。これによりシール部材の表面のならい性は高くな
り、シール性を一層向上させることが可能となる。
【0015】請求項9に規定する発明によれば、前記耐
熱性メタルシール部材の表面には、前記被処理体のプロ
セス温度の近傍にて軟化する低融点材料よりなる軟化金
属膜が被覆されている。これによれば、プロセス時に上
記低融点材料が軟化してこのシール部材との接触面の切
れ込みが上記軟化した材料により埋め込まれることによ
ってリークパスがなくなり、これにより切れ込みを介し
て逃げる伝熱ガスを抑制することが可能となる。請求項
10に規定する発明によれば、前記耐熱性メタルシール
部材が当接する部材の表面には、前記被処理体のプロセ
ス温度の近傍にて軟化する低融点材料よりなる軟化金属
層が形成されている。この場合も、上記請求項8の場合
と同様な作用を示す。
【0016】請求項11に規定する発明によれば、前記
耐熱性メタルシール部材の表面には、フッ素系ガスに対
して耐腐食性の高いフッ化不動体膜が被覆されている。
これにより、フッ化ガスに対する耐腐食性を向上させる
ことが可能となる。この場合、例えば請求項12に規定
するように、前記フッ化不動体膜は、フッ化ニッケルよ
りなる。請求項13に規定するように、前記加熱ヒータ
部は、セラミックヒータにより形成してもよい。
【0017】請求項14に規定するように、前記加熱ヒ
ータ部は、同心円状にゾーン分割されて個々に制御可能
としてもよい。請求項15に規定するように、例えば前
記電極部は、前記被処理体の上方に対向する上側電極部
である。請求項16に規定するように、例えば前記電極
部は、前記被処理体を載置する載置台を兼ねる下側電極
部であり、更に、この下側電極部の上面に接合されて前
記被処理体を吸着する静電チャックと、前記静電チャッ
クと前記被処理体との間に形成される僅かな間隙のチャ
ック側伝熱空間に伝熱ガスを供給するチャック側伝熱ガ
ス供給手段とを備える。
【0018】請求項17に規定するように、前記電極側
伝熱空間、前記ラビリンス伝熱空間及び前記チャック側
伝熱空間の内、少なくとも1つの空間には、この空間に
臨ませて耐熱圧力センサが設けられており、この耐熱圧
力センサの出力に基づいて前記対応する伝熱ガス供給手
段のガス供給量を制御するようにしてもよい。これによ
れば、耐熱圧力センサにより制御対象空間の圧力を直接
測定しているので、ガス圧の制御性を向上させることが
できる。請求項18に規定するように、前記電極部の中
心は、内部が中空状の支柱により支持されており、前記
支柱内には、前記電極部の裏面中心部に向けて気体ブロ
ウを吹き付けて脱熱を促進させる気体ブロワ手段が設け
られている。これによれば、温度が高くなる傾向にある
電極部の中心部の脱熱を促進させることができるので、
被処理体の温度分布の面内均一性を向上させることが可
能となる。
【0019】請求項19に規定するように、前記電極部
の中心は、支柱により支持されており、この支柱は前記
冷却ブロックに熱伝導性部材を介して接続されている。
この場合にも、上記請求項18と同様な作用により、載
置台の中心部の脱熱を促進させることができるので、被
処理体の温度分布の面内均一性を向上させることが可能
となる。請求項20に規定する発明は、真空引き可能に
なされた処理容器内で被処理体に対して所定の処理を行
なう処理装置に用いられる載置台構造において、前記被
処理体を加熱するための加熱ヒータ部を内部に有する載
置台と、この載置台に接合されて内部に前記載置台を冷
却する冷却ジャケットを有する冷却ブロックと、前記載
置台と前記冷却ブロックとの間に形成される僅かな間隙
の伝熱空間をシールするための耐熱性メタルシール部材
と、前記伝熱空間に伝熱ガスを供給する伝熱ガス供給手
段とを備えたことを特徴とする。このように、耐熱性メ
タルシール部材を用いることにより、200℃以上、例
えば350〜500℃程度の高温域においても前記伝熱
空間のシール性を高く維持することが可能となる。
【0020】請求項21〜24に係る発明は、先の請求
項8〜11の発明を載置台構造に適用したものである。
請求項25に規定する発明は、真空引き可能になされた
処理容器内で被処理体に対して所定の処理を行なう処理
装置に用いられる載置台構造において、前記被処理体を
加熱するための加熱ヒータ部を内部に有する載置台と、
この載置台に接合されて内部に前記載置台を冷却する冷
却ジャケットを有する冷却ブロックと、前記載置台と前
記冷却ブロックとの接合対向面の内、少なくとも一方に
同心円状或いは螺旋状に設けられる微少な溝部により形
成されたラビリンス伝熱空間と、ラビリンス伝熱空間に
伝熱ガスを供給する伝熱ガス供給手段とを備えたことを
特徴とする。このように、載置台と冷却ブロックとの接
合面にラビリンス伝熱空間を設けることにより、200
℃以上、例えば350〜500℃程度の高温域において
も前記載置台と前記冷却ブロックとの間に形成される伝
熱空間のシール性を高く維持することが可能となる。
【0021】請求項26〜29に係る発明は、先の請求
項6、17〜19の発明を載置台構造に適用したもので
ある。請求項30に規定する発明は、真空引き可能な処
理容器と、請求項1乃至9のいずれかに規定する電極構
造と、この電極構造に高周波電圧を印加する高周波電源
とを備えたプラズマ処理装置である。請求項31に規定
する発明は、真空引き可能な処理容器と、請求項20ま
たは29のいずれかに規定する載置台構造とを備えたこ
とを特徴とする処理装置である。
【0022】
【発明の実施の形態】以下に、本発明に係る電極構造、
載置台構造、処理装置及びプラズマ処理装置の一実施例
を添付図面に基づいて詳述する。図1は本発明に係るプ
ラズマ処理装置の一実施例を示す構成図、図2は耐熱性
メタルシール部材を示す断面図、図3は本発明の電極構
造(下側電極部側)の一実施例の伝熱ガスの供給系を示
す図、図4は耐熱圧力センサの概略構成図、図5は本発
明の電極構造(上側電極部側)の一実施例の伝熱ガスの
供給系を示す図である。図示するように、このプラズマ
処理装置24は、例えばアルミニウムよりなる円筒体状
の処理容器26を有しており、この中の底部側には、被
処理体としての半導体ウエハWを載置する載置台として
も機能する下部の電極構造28が設けられると共に、天
井部には上部の電極構造30が設けられる。
【0023】この処理容器26の側部には、半導体ウエ
ハWを処理容器26内へ搬入搬出する際に開閉されるゲ
ートバルブ32と、例えばシランや酸素などの成膜ガス
等の各種のガスを導入するガスノズル34が設けられ
る。尚、このガスノズル34に替えて、上記上部の電極
構造30の下面に、例えば石英製のシャワーヘッドを設
けるようにしてもよい。また、この処理容器26の下部
側壁には、図示しない真空ポンプ等を介設した真空排気
系に接続された排気口36が設けられており、処理容器
26内を真空引き可能としている。
【0024】上記下部の電極構造28は、実質的な載置
台を兼ねる下側電極部38と、この下方に配置される冷
却ブロック40と、この下側電極部38と冷却ブロック
40との間に介在されて両者を電気的に絶縁する下側絶
縁体42とにより主に構成されている。具体的には、上
記下側電極部38は例えばアルミニウムよりなり、この
中には、渦巻状或いは同心円状に巻回されたシースヒー
タよりなる加熱ヒータ44が鋳込みにより埋め込まれて
おり、ウエハWを加熱し得るようになっている。そし
て、この下側電極部38の上面には、内部にチャック電
極を埋め込んだ例えばセラミック製の静電チャック46
がろう付け等により接合されており、この上面にウエハ
Wを吸着して保持するようになっている。そして、この
下側電極部38の下方に延びる導電性の支柱48は、容
器底部50を貫通しており、この支柱48にはリード線
52を介してマッチング回路54及びバイアス用高周波
電源56が接続されている。この支柱48には、図示し
ない昇降機構が取り付けられており、これを昇降移動で
きるようになっている。
【0025】一方、冷却ブロック40は、上記下側電極
部38と同様に例えばアルミニウムにより構成されてお
り、内部には冷媒を流すための中空リング状の冷却ジャ
ケット58が形成されている。そして、この冷却ジャケ
ット58に冷媒を流すことにより、上記下側電極部38
を介してウエハWを冷却するようになっている。実際に
は、この冷却ジャケット58と加熱ヒータ部44とを選
択的に、或いは同時に駆動することにより、ウエハ温度
を適正値になるように制御することになる。そして、こ
の冷却ブロック40の下面と上記容器底部50とは伸縮
可能になされたベローズ60により接合されており、こ
の下部の電極構造28に対して、処理容器26内の気密
性を維持したまま上下移動を許容している。また、下側
電極部38と冷却ブロック40との間に介在される下側
絶縁体42は例えば厚さが20mm程度のリング状の石
英ガラスよりなり、この下側絶縁体42は、上記下側電
極部38より下方へ延びる支柱48の外周も被ってお
り、この支柱48も絶縁している。
【0026】そして、上記下側電極部38と下側絶縁体
42及び下側絶縁体42と冷却ブロック40は共に上下
に接合されるが、これらの間にそれぞれ僅かな間隙の空
間、すなわち上側電極側伝熱空間(上側空間)62と下
側電極側伝熱空間(下側空間)64が発生することは避
けられない。これらの両伝熱空間62、64に対して何
ら手段を講じないと両伝熱空間62、64は処理容器2
6内へ連通していることからプラズマ処理時に両伝熱空
間62、64内が真空状態となって上下方向への伝熱効
率が低下してしまう。そのために、リング状の両伝熱空
間62、64の内周側及び外周側には、それぞれリング
状に耐熱性メタルシール部材66A、66B、68A、
68Bが介在されており、両伝熱空間62、64の気密
性を高く維持している。更に、シール部材66A〜68
Bの気密性を高く維持しても、僅かにガスが漏れること
は避けられないので、両伝熱空間62、64には、それ
ぞれガス供給通路70、72が接続されており、後述す
るように圧力制御されたAr、He、N2 等の不活性ガ
スより成る伝熱ガス、例えばN2 ガスを供給できるよう
になっている。
【0027】また、上記したと同様な理由で、プラズマ
処理時における下側電極部38及び静電チャック46側
からウエハWへの伝熱効率を上げるために、静電チャッ
ク46の上面とウエハWの裏面との間に形成されるチャ
ック側伝熱空間74にも伝熱ガスを供給する2本のガス
供給通路76、78が設けられる。この場合、チャック
側伝熱空間74におけるガス拡散速度が遅いので、例え
ば一方のガス供給通路76は、静電チャック46の中心
側に供給するために用い、他方のガス供給通路78は静
電チャック46の周辺側に供給するために用いる。尚、
チャック側伝熱空間74へのガス供給の態様は、これに
限定されない。
【0028】次に、上記耐熱性メタルシール部材66A
〜68Bの構造について図2を参照して説明する。ここ
では全てのシール部材66A〜68Bの構造は同じなの
で、シール部材66Aを例にとって説明する。図2
(A)に示す耐熱性メタルシール部材66Aは、例えば
ステンレススチール、インコネル(商品名)、ハステロ
イ(商品名)等の金属よりなる厚さが例えば0.1〜
1.0mm程度の薄い耐熱性金属膜79を断面円形のリ
ング状に成形して構成されている。この断面の直径L1
は3〜4mm程度であり、押圧力に対して弾力性を持た
せている。図2(B)に示す耐熱性メタルシール部材6
6A−1は、図2(A)に示す耐熱性金属膜79の断面
形状の側面の一部に切り欠き80を設けている。この切
り欠き80は、リング状のシール部材66A−1の周方
向に沿って形成されており、断面方向へ屈曲することに
より弾性を持たせている。図2(C)に示す耐熱性メタ
ルシール部材66A−2は、図2(B)に示したような
断面形状の耐熱性金属膜79の内部の空間部に、例えば
ばね用ステンレス鋼等よりなるコイル状もしくは板バネ
状のスプリング部材82を挿入しており、これにより押
圧された時の弾発力を高めてシール性を向上させるよう
になっている。
【0029】図2(D)に示す耐熱性メタルシール部材
66A−3は、図2(A)に示す断面円形の耐熱性金属
膜79の内部に、プロセス温度よりも低い温度で溶融す
る低融点材料84を封入して表面のならい性を良好にし
ている。この低融点材料84としては例えば略156.
4℃の融点を有するインジウムや略150度の融点を有
するハンダ等を用いることができる。この場合、金属膜
79の厚さは、弾力性よりもならい性を重視するために
非常に薄くしており、例えば1.0μm〜500μm程
度の厚さに設定する。図2(E)に示す耐熱性メタルシ
ール部材66A−4は、図2(B)に示すような一部に
切り欠き80を設けたような断面形状の耐熱性金属膜7
9に更に、内側へ屈曲させた凸部86を設けており、弾
力性を高めてシール性を向上させるようにしたものであ
る。耐熱性メタルシール部材66A〜68Bとしては、
上述した構造の内、どのようなものを採用してもよい。
【0030】次に、図3を参照して上記電極側伝熱空間
62、64及びチャック側伝熱空間74への伝熱ガスの
供給系について説明する。図3に示すように、各電極側
伝熱空間62、64及びチャック側伝熱空間74へ連通
される各ガス供給通路70、72、76、78には、そ
れぞれマスフローコントローラのような流量制御機器8
8A〜88Dが介設されて伝熱ガス源であるN2 ガス源
90、92に接続されており、それぞれ電極側伝熱ガス
供給手段94とチャック側伝熱ガス供給手段96を構成
している。尚、N2 ガス源90、92は共用してもよ
い。そして、各流量制御機器88A〜88Dは、各伝熱
空間62、64、74に設けられる耐熱圧力センサ98
A〜98Dの検出値に基づいて、制御部100により制
御されることになる。具体的には、各ガス供給通路7
0、72、76、78のガス出口70A、72A、76
A、78Aの近傍に上記各耐熱圧力センサ98A〜98
Dは設けられており、対応する空間部分の圧力を検出す
るようになっている。尚、図3中の66C〜66Gは上
述したと同様な構造の耐熱性メタルシール部材であり、
各ガス供給通路をシールしている。ここで上記各耐熱圧
力センサ98A〜98Dは、全て同様な構造となってお
り、このセンサ構造を例えばセンサ98Aを例にとって
図4を参照して説明する。
【0031】図4に示すように、耐熱圧力センサ98A
が取り付けられるベース、ここでは下側絶縁体42の表
面に凹部102を形成し、この凹部102の開口部分を
屈曲可能なセラミック板、或いは金属板よりなるベース
板104、ろう剤106等により気密に封止する。この
際、凹部102内を所定の圧力(例えば大気圧程度)と
しておく。そして、このベース板104の表面には、予
め、例えばニッケルとクロムの合金等よりなる抵抗パタ
ーン108が、ベース板104に対して絶縁状態(ベー
スが金属の場合)で形成されており、ベース板104の
屈曲変形(図中、一点鎖線で示す)に追従して、この抵
抗パターン108も伸縮して抵抗変化が生ずるようにな
っている。そして、この伸縮に伴う微少な抵抗変化をリ
ード線110を介して制御部100にて電気的に検出す
るようになっている。この微少な抵抗変化は、例えばホ
イートストンブリッジ回路を用いて検出すればよい。
尚、この場合、制御部100には、図示しない温度補償
回路を設けて、温度変化に伴って発生する抵抗パターン
108の抵抗変化をキャンセルするようになっている。
また、この耐熱圧力センサ98Aの構成は、本発明装置
のプロセス温度に耐え得るならば、上述したような構成
に限定されない。
【0032】次に、図1に戻って、上部の電極構造30
について説明する。この上部電極構造30の基本的構造
は、上述した下部の電極構造28と同じである。すなわ
ち、この上部の電極構造30は、上側電極部110と、
この上方に配置される冷却ブロック112と、この上側
電極部110と冷却ブロック112との間に介在されて
両者を電気的に絶縁する上側絶縁体114とにより主に
構成されている。具体的には、上記上側電極部110
は、例えばアルミニウムよりなり、この中には、先に説
明した下側電極部38内の加熱ヒータ部44と同じ構造
の渦巻状或いは同心円状に巻回された加熱ヒータ部11
6が埋め込まれている。そして、この上側電極部114
の上方に延びる導電性の支柱118は、容器天井部を貫
通しており、この支柱118には、リード線120を介
してマッチング回路122及びプラズマ発生用の高周波
電圧を印加するプラズマ発生用高周波電源124が接続
されており、処理空間にプラズマを発生させるようにな
っている。
【0033】また、冷却ブロック112は、上記下側電
極部38と同様に例えばアルミニウムにより構成されて
おり、内部には冷媒を流すための中空リング状の冷却ジ
ャケット126が形成されている。そして、この冷却ジ
ャケット126に冷媒を流すことにより、上記上側電極
部110を冷却してこれを一定温度、例えば下側電極部
38と同じ温度に保つようになっている。実際には、こ
の冷却ジャケット126と加熱ヒータ部116とを選択
的に、或いは同時に駆動することにより、上部電極温度
を適正値になるように制御することになる。このよう
に、上側電極部110の温度制御を行なう理由は、上側
電極部110が昇温してウエハまたは下側電極部38よ
り高温になると、両者間で熱輻射が起こり、熱変動の原
因となるからである。また、上側電極部110と冷却ブ
ロック112との間に介在される上側絶縁体114は例
えば厚さが20mm程度のリング状の石英ガラスよりな
り、この上側絶縁体114は、上記上側電極部110よ
り上方へ延びる支柱118の外周も被っており、この支
柱118も絶縁している。
【0034】そして、上記上側電極部110と上側絶縁
体114及び上側絶縁体114と冷却ブロック112は
共に上下に接合されるが、これらの間にそれぞれ僅かな
間隙の空間、すなわち上側電極側伝熱空間(上側空間)
128と下側電極側伝熱空間(下側空間)130が発生
することは避けられない。そのために、下部の電極構造
28の場合と同様に、リング状の両伝熱空間128、1
30の内周側及び外周側には、それぞれリング状に耐熱
性メタルシール部材132A、132B、134A、1
34Bが介在されており、両伝熱空間128、130の
気密性を高く維持している。更に、両伝熱空間128、
130には、それぞれガス供給通路136、138が接
続されており、以下に説明するように圧力制御されたA
r、He、N2 等の不活性ガスより成る伝熱ガス、例え
ばN2 ガスを供給できるようになっている。すなわち、
図5に示すように、各電極側伝熱空間128、130へ
連通される各ガス供給通路136、138には、それぞ
れマスフローコントローラのような流量制御機器88
E、88Fが介設されて伝熱ガス源であるN2 ガス源1
40に接続されており、電極側伝熱ガス供給手段142
を構成している。
【0035】そして、各流量制御機器88E、88F
は、各伝熱空間128、130に設けられる耐熱圧力セ
ンサ98E、98Fの検出値に基づいて、制御部144
により制御されることになる。尚、この制御部144は
先の制御部100と共用してもよい。具体的には、各ガ
ス供給通路136、138のガス出口136A、138
Aの近傍に上記各耐熱圧力センサ98E、98Fは設け
られており、対応する空間部分の圧力を検出するように
なっている。これらの各センサ98E、98Fは先に図
4において説明したと同様な構成である。
【0036】次に、以上のように構成された本実施例の
動作について説明する。まず、下部の電極構造28を、
所定の搬出搬入位置まで下方へ降下させた状態で、開放
状態になされているゲートバルブ32を介して半導体ウ
エハWを処理容器26内へ搬入し、これを載置台を兼ね
るプリヒートされた下側電極部38の上面に載置し、静
電チャック46によりウエハWを吸着保持する。ここで
下部電極部38や上部電極部110は、スループットを
向上させるために、予め約350〜500℃に予備加熱
されている。そして、この状態で下部の電極構造28を
所定のプロセス位置まで上昇させ、これと同時に、下側
電極部38の加熱ヒータ部44及び上側電極部110の
加熱ヒータ部116への供給電力を大きくして、ウエハ
Wを所定のプロセス温度、例えば500℃程度まで昇温
する。尚、予めプロセス温度まで加熱されている場合
は、昇温は不要である。そして、ガスノズル34から所
定の成膜ガス、例えばSiH4 、SiF4 、O2 等を処
理空間に供給し、同時に図示しない真空ポンプを駆動し
て処理容器26内の雰囲気を、排気口36から真空引き
して処理容器26内の圧力を所定のプロセス圧力に維持
する。
【0037】そして、プラズマ発生用高周波電源124
から例えば13.56MHzのプラズマ発生用の高周波
電圧を上側電極部110に印加し、これと同時に、バイ
アス用高周波電源56から下側電極部38にバイアス用
の高周波電圧を印加する。これにより、処理空間には、
プラズマが生成し、成膜ガスは活性化されて反応し、ウ
エハWの表面に例えばSiOF等の所定の成膜が施され
ることになる。このようにして、プラズマ処理が開始さ
れると、このプラズマ自体によってウエハW及び上側電
極部110が次第に加熱されるので、各冷却ブロック4
0及び112に設けた冷却ジャケット58、126を駆
動し、これら冷却ジャケット58、126と加熱ヒータ
部44、116を適正に制御してウエハWの温度がプロ
セス温度を維持するようにコントロールする。尚、冷却
ジャケット58、126の冷媒としては、水或いはガル
デン(商品名)等を用いることができる。
【0038】さて、このような状況下において、ウエハ
Wの温度コントロール性を維持するために、上記各伝熱
空間62、64、74、128、130に対応する各ガ
ス供給手段から伝熱ガスとしてArガスを供給し、この
伝熱空間内を例えば10〜20Torr程度の一定の圧
力に維持するようになっている。ここで、各伝熱空間を
シールするために、図2に示されたような構造の耐熱性
メタルシール部材66A、66B、68A、68B、1
32A、132B、134A、134Bを用いているの
で、プロセス温度が500℃程度まで高くてもこのシー
ル性を高く維持して処理容器26内側へ漏れ出る伝熱ガ
スを抑制することができる。従って、伝熱ガスをそれ程
消費することなく高い伝熱効率を維持してウエハWの温
度コントロールを精度良く行なうことができる。また、
各伝熱空間62、64、74、128、130には、5
00℃程度の高温にも耐え得るような図4に示したよう
な構成の耐熱圧力センサ98A〜98Fを設けて圧力を
検出し、これが所定の一定値を維持するように伝熱ガス
の流量を制御しているので、高い精度でガス圧力をコン
トロールすることができる。特に、上述のように、圧力
制御の対象となる各伝熱空間の圧力を各センサにより直
接検出するようになっているので、ガス供給系途中のガ
ス圧を検出して圧力制御した従来方法とは異なり、応答
の速い、且つより精度の高い圧力制御を行なうことがで
きる。
【0039】特に、静電チャック46の表面のウエハW
が位置ずれしたり、ゴミを挟み込むなどして適正に載置
されていない場合には、伝熱ガスを供給してもこの部分
のチャック側伝熱空間74の圧力は迅速には所定の値ま
で上昇しないので、この現象を応答速度の速い上記セン
サ98C(或いは98D)ならば直ちに検出して、ウエ
ハWの載置不良等を認識判別することができる。また、
下側絶縁体42或いは上側絶縁体114を設けたので、
各冷却ジャケット58及び126に流れる冷媒として絶
縁性の高くない冷媒(例えば水)を用いる場合でも、こ
の冷媒を介して外部(冷媒の循環器等)に高周波電流が
漏れることを防止し、高周波電力をプラズマ生成のため
に効率良く使用することができる。
【0040】次に、下側絶縁体42及び上側絶縁体11
4の材質の変形例について図6及び図8も参照して説明
する。図6は絶縁体が反って変形する状態を説明するた
めの説明図、図7は絶縁体の熱伝導度と反り量(変形
量)との関係を示すグラフである。図1に示す実施例で
は絶縁体42、114として単なる石英ガラスを用いた
が、これは熱伝導度が低いので、例えば下側絶縁体42
を例にとるとその上面が高温の下側電極部38と接して
下面が冷却ブロック40に接することから上面と下面と
の間で大きな温度差が生じる。この結果、例えば温度差
がない時には、図6(A)に示すように下側絶縁体42
は平坦であるが、図6(B)に示すように、例えば上面
が200℃、下面が50℃のように150℃程度も温度
差が生ずると、上下面の熱膨張差によって、この場合に
は下方向へ曲がって反りが生じて変形してしまう。この
時の反り量は、この絶縁体42を構成する材料の熱伝導
率、熱膨張係数、寸法形状等によって決まる。このよう
な反り量が大きいと、上下の両面が上側電極部38や冷
却ブロック40と均等に当接せずに偏当たりするように
なり、この結果、下側電極部38から熱がその面内均一
に脱熱されなくなり、ウエハ温度に偏りが生じてウエハ
温度の面内均一性が劣化する傾向となってしまう。
【0041】そこで、ここでは下側絶縁体42として、
熱伝導度が良好なもの、ここでは本実施例で許容される
反り量ΔLを例えば15μmに設定すると、熱伝導度が
80W/mK(メートルケルビン)以上の材料を用い
る。このような材料としては、絶縁性があって、熱伝導
度が80W/mK以上ならば、どのような材料でもよい
が、例えばセラミック材の中では、窒化アルミニウム
(AlN)を用いることができる。図7は絶縁体の熱伝
導度と反り量との関係を示すグラフであり、図示するよ
うに熱伝導度が80W/mK以上ならば反り量は許容量
の15μm以下となっていることが判明する。この時の
実験条件は、絶縁体の直径は230mm、厚さは12m
m、上面からの入熱は1500Wである。また、絶縁体
の特性に関しては、ヤング率が300Gpa、ポアソン
比が0.33、熱膨張係数が5.0×10-6-1であ
る。このように、熱伝導度が高い材料で絶縁体42を形
成することにより、上下面間の温度差が少なくなり、結
果的にその反り変形量を抑制することが可能となる。こ
の結果、この絶縁体42が上下の部材と偏当たりしなく
なるので、ウエハ温度の面内均一性を高く維持すること
が可能となる。このような現象は、下側絶縁体42のみ
ならず、上側絶縁体114にも当てはまるので(反り方
向は上述とは逆方向となる)、上側絶縁体114も熱伝
導度が80W/mK以上の窒化アルミニウムで構成する
のが好ましい。
【0042】次に、下側絶縁体42及び上側絶縁体11
4の面構造の変形例について、図8及び図9も参照して
説明する。図1、図3及び図5等においては、説明を理
解し易くするために、各伝熱空間62、64、128、
130は幅を大きくして記載しているが、実際には各シ
ール部材は凹部状の溝内に設置されており、また、構造
物は高さ方向に高い圧力で締め付け固定されているの
で、上記各伝熱空間の隙間はほとんど生じないが、ミク
ロ的に見れば、これらの各伝熱空間を区画する各部材の
接合面は、不規則な多数の点接触状態となり、その隙間
を不規則な経路に沿って伝熱ガスが流れることになる。
そのため、上下方向における伝熱効率が場所によって異
なるので、ウエハ温度の面内均一性が劣化する傾向とな
る。
【0043】そこで、この実施例では、各伝熱空間6
2、64、128、130を区画するように上下に接合
される接合面に伝熱ガスが流れるガス溝を面内に略均一
に設け、その両接合面が接する接触率を例えば40〜8
0%の範囲内に設定するようにしている。すなわち、例
えば下側絶縁体42の上面或いは下面、またはその双方
に面内全体に亘ってメッシュ状、或いは格子状に所定の
幅のガス溝200(図9参照)を刻んで形成する。する
と、このガス溝200に沿って伝熱ガスは流れるので、
絶縁体42の全面に亘って略均等に伝熱ガスが行き亘る
ことになる。この結果、絶縁体の略全面において上下方
向の伝熱効率が均一となり、ウエハの温度分布の均一性
を改善することが可能となる。この場合、接触率が過度
に小さくなると、上下方向における熱抵抗が大きくなり
過ぎて、ウエハの温度コントロール性が劣化するので、
その接触率の下限は上述のように40%程度である。
【0044】ここで、最適な接触率を実験で求めたの
で、その結果について図8及び図9を参照して説明す
る。図8は種々の幅のガス溝を部材の接触面に設けた時
の各種の接触率を示す図、図9は接触率に対する熱抵抗
と温度分布のばらつきとの関係を示すグラフである。こ
こで接触率とは絶縁体の表面にガス溝を形成した時のガ
ス溝の形成面積に対する残りの面積の比を言う。図8に
おいては、ガス溝200が形成され絶縁体42の表面の
一部が示されており、正方形の部分が接触面となってい
る。ここで接触面202の一辺とガス溝200の幅の比
が7:1の時は接触率は77%(図8(A)参照)、上
記比が2:1の時は接触率は44%(図8(B)参
照)、上記比が1:2の時は接触率は11%(図8
(C)参照)、上記比が1:5の時は接触率は2.7%
(図8(D)参照)となる。尚、ガス溝200を何ら設
けない時は、接触率は100%である。
【0045】さて、以上のようにして定まる接触率を種
々変更して熱抵抗とウエハ面内の温度分布のばらつきΔ
Tを調べた結果が図9に示されている。尚、温度分布の
ばらつきは、ウエハ面内の温度の最高値と最小値の差を
とっている。ここで、プロセスの種類にもよるが、一般
的な熱処理では温度分布のばらつきは30℃以内である
ことが要求される。また、熱抵抗が過度に大きいと、電
極からの脱熱(冷却)が円滑に行かなくなるので、加熱
ヒータのパワーや冷却ブロックの冷媒流量等を制御して
もその反応が鈍くなり、ウエハの温度コントロール性が
劣化してしまうので、その上限は0.1K/W程度であ
る。従って、両者を満足するには、接触率を40〜80
%の範囲内に設定する必要があることが判明する。この
ように、熱抵抗を過度に高くすることなく伝熱ガスを面
内方向に略均一に流すことができるので、ウエハの面内
温度分布の均一性を更に改善することが可能となる。
尚、上記ガス溝200は、下側絶縁体42の上面、下
面、上側絶縁体114の上面、下面のみならず、これら
の各面と対向接触する電極部の面や冷却ブロックの面に
も適用できるのは勿論である。
【0046】次に、下側絶縁体42及び上側絶縁体11
4の表面粗さRaを規定した変形例について図10乃至
図12も参照して説明する。図1における各伝熱空間6
2、64、128、130を区画する各部材の表面粗さ
は、両部材間の実際の接触面積や両部材間の実際の距離
に影響を与えるので、これによって、両部材間の伝熱効
率、すなわち熱抵抗も影響を受ける。図10はその一例
として下側電極部38の下面と下側絶縁体42の上面と
の間に形成される伝熱空間62の一部をミクロ的に拡大
した模式図を示しており、表面粗さに依存して、両部材
間の実際の接触面積や実際の距離が変化し得る点が開示
されている。このような熱抵抗が大きくなると、電極部
38からの脱熱(冷却)が円滑に行かなくなり、ウエハ
温度のコントロール性を低下させる恐れが生ずる。
【0047】これを図11を参照して説明する。図11
は図1に示す電極構造28を模式的に示した図であり、
プラズマ等によりウエハWにはQwの熱量が入り、ま
た、加熱ヒータ部44からは最大Pwの熱量を投入でき
るものとする。そして、冷却ブロック40では熱量Xw
の脱熱(冷却)を行なっているものと仮定する。ここ
で、絶縁体42の上下の境界部分(伝熱空間)における
熱抵抗が大きいと、脱熱の熱量Xwを大きく取れなくな
ることから電極部38に大きな熱量が貯まってくるの
で、ここの加熱ヒータ部44の出力パワーを抑制しなけ
ばならず、このため、ウエハWを適正なプロセス温度に
維持するための温度コントロール性が劣化してしまう。
そこで、この実施例では、絶縁体42の上下の境界部分
の表面粗さRaを2.0μm以下に設定することによ
り、熱抵抗を0.1K/W以下になるように設定してい
る。このように、熱抵抗が0.1K/Wよりも小さくな
ると、図11において脱熱のための熱量Xwを大きくす
ることができるので、その分、加熱ヒータ部44に大き
な電力を投入でき、従って、ウエハ温度をコントロール
性良く制御することが可能となる。
【0048】ここで、図12を参照して熱抵抗及び表面
粗さの各上限値について説明する。図12は絶縁体の表
面粗さRaと熱抵抗との関係を示すグラフである。この
グラフから明らかなように、表面粗さRaが大きくなる
程、熱抵抗も大きくなっている。現行の電極構造では、
加熱ヒータ部44のパワーや冷却ブロック40の冷却容
量を考慮すると、脱熱の熱量は例えば少なくとも5W
(ワット)/cm2 以上必要であり、この時の熱抵抗は
略0.1K/Wである。従って、表面粗さRaは、グラ
フより略2.0μm以下に設定すればよいことが判明す
る。上記絶縁体42の表面粗さRaについては、上部の
電極構造30の上側絶縁体114(図1参照)について
も同様に適用できるのは勿論である。
【0049】次に、耐熱性メタルシール部材のシール性
向上のための変形例について、図13乃至図15も参照
して説明する。一般に、絶縁体や電極部や冷却ブロック
の表面は、相互間の接触性を良好にするためにラップ加
熱等により鏡面仕上げされて高い平滑状態となってい
る。図13は鏡面仕上げされた電極部の下面と絶縁体の
上面とを模式的に示しており、両面の凹凸は非常に少な
くなっている。しかしながら、石英ガラスやセラミック
材よりなる絶縁体42の表面には、鏡面加工時に脱粒が
発生して微小な切れ込み204が生ずる場合がある。こ
の切れ込み204はアルミニウム製の電極部38側に発
生する場合もある。そして、このような脱粒による切れ
込み204が発生すると、耐熱性メタルシール部材66
Aを介在させていても、この切れ込み204がリークパ
スとなってここより伝熱ガスが漏れ出てしまう場合があ
る。そこで、この実施例では、耐熱性メタルシール部材
の表面、或いはこの耐熱性メタル部材が当接する部材の
表面に、ウエハのプロセス温度の近傍にて軟化する低融
点材料よりなる軟化金属膜、或いは軟化金属層を形成し
ている。
【0050】図14は耐熱性メタルシール部材66Aの
表面に軟化金属膜206を形成した状態を示し、図15
は耐熱性メタルシール部材66Aが当接する部材の表面
に、すなわち、ここでは電極部38の下面及び絶縁体4
2の表面に軟化金属層208、208をそれぞれ形成し
た状態を示している。この軟化金属層208は、シール
部材66Aに沿ってリング状に形成されていることにな
る。この軟化金属膜206及び軟化金属層208は、図
2(D)を参照して説明したように、インジウムを用い
ることができるが、勿論これに限定されるものではな
い。
【0051】このように、軟化金属膜206或いは軟化
金属層208を用いることにより、ウエハのプロセス中
にこの材料が軟化して上記切れ込み204に侵入してこ
れを埋め込むように作用する(図14(B)及び図15
(B)参照)。これにより、リークパスがなくなり、伝
熱ガスが外部に漏れ出ることを防止することが可能とな
る。図15においては、軟化金属層208、208をシ
ール部材66Bが当接する上下両面に設けているが、切
れ込み204が発生する頻度が高い絶縁体42側のみに
設けるようにしてもよい。また、図14に示す構造と、
図15に示す構造とを組み合わせて用いるようにしても
よい。
【0052】次に、耐熱性メタルシール部材のフッ化ガ
スに対する耐腐食性を向上させるための変形例について
図16も参照して説明する。この種の処理装置では、プ
ロセス時、エッチング時或いはクリーニング時に、腐食
性の強いフッ素系ガスを用いて処理を行なう場合があ
り、このフッ素系ガスが耐熱性メタルシール部材に接触
すると、これを腐食させる場合が生ずる。そこで、本実
施例ではこの腐食を防止するために、図16に示すよう
に耐熱性メタルシール部材の表面に、フッ素系ガスに対
して耐腐食性の高いフッ化不動体膜210を形成してい
る。図16(A)に示す場合には、断面円形の耐熱性メ
タルシール部材66A(図2(A)と同じもの)の表面
全体にフッ化不動体膜210を形成している。また、図
16(B)に示す場合には、一部が開放された断面円弧
状の耐熱性メタルシール部材66A−1(図2(B)と
同じもの)であり、表側の表面と裏側の表面全体に、す
なわち、フッ素系ガスと接触する可能性のある露出面全
体に対してフッ化不動体膜210を形成している。先の
図2(C)〜図2(E)に示すシール部材66A−2、
66A−3、66A−4の場合にも外側の表面のみなら
ず、内側の表面全体にフッ化不動体膜210を形成す
る。これにより、このメタルシール部材66Aがフッ素
系ガスにより腐食されることを防止して、この耐腐食性
を向上させることができる。尚、このフッ化不動体膜2
10の形成については、他の全てのメタルシール部材6
6B、132A、132B(図1参照)にも適用できる
のは勿論である。
【0053】次に、電極部38を支える支柱48の部分
を改良してウエハ温度の面内均一性を向上させるための
変形例について図17乃至図19を参照して説明する。
図1に示すように下側電極部38を支持する直径4〜5
cm程度の支柱48は内部が中空になされており、この
内部は大気開放されていたり、或いは密閉状態になされ
ている。いずれにしても、この支柱48が接続される下
側電極部38の中心部近傍は、冷却ブロック40が直下
に位置していないので脱熱性が劣り、この下側電極部3
8の中心部近傍の温度は、その周辺部と比較して少し高
目になる傾向となり、ウエハ温度の面内均一性を劣化さ
せる原因となっている。そこで、本実施例では、この部
分の脱熱を促進させるための気体ブロワ手段を設けてい
る。図17は支柱内に気体ブロワ手段を設けた状態を示
す図、図18は半導体ウエハの面内温度分布を示すグラ
フである。
【0054】図17に示すように、下側電極部38の中
心部を支持する中空状の支柱48内には、その下方より
気体ブロワ手段220として気体噴射ノズル222が挿
入されている。その上端の噴射口224は、電極部38
の下面の直下、1cm程度の所に位置されており、電極
部38の裏面中心部に、室温程度のN2 ガスや空気など
の気体を噴射して、この部分の脱熱(冷却)を促進させ
るようになっている。この噴射された気体は、支柱48
の下端の排気ポート226より排出されるようになって
いる。この噴射ガスの温度は、予めある程度冷却してお
いて脱熱効率を向上させるようにしてもよいが、過度に
脱熱効率を上げると、電極部38の中心部の温度が低く
なり過ぎて好ましくない。
【0055】図18は冷却ブロワ手段の効果を示すグラ
フであり、冷却ブロワなしの場合と冷却ブロワありの場
合(20リットル/minと40リットル/min)と
を示している。ここで、冷却ブロワ手段としては室温の
2 ガスを噴射している。このグラフから明らかなよう
に、冷却ブロワなしの場合は、ウエハ中心の温度は高く
て周辺部が低くなり、ウエハ面内の温度差ΔTは24℃
程度にも達していて大きな温度差となっている。また、
冷却ブロワありで気体を40リットル/minの流量を
噴射している場合には、逆に脱熱が促進され過ぎてしま
ってウエハ中心部の温度が低くなり、この場合にもウエ
ハ面内の温度差ΔTは24℃程度にも達していて大きな
温度差となっている。
【0056】これに対して、冷却ブロワありで気体を2
0リットル/minの流量を噴射している場合には、ウ
エハ中心部の温度は適宜に下がり、ウエハ面内の温度差
ΔTは15℃程度まで小さくなり、ウエハ面内の温度の
均一性が良好な結果を示すことが判明した。このよう
に、下側電極部38の下面中心部に気体ブロワを吹き付
けてこの部分の脱熱を促進させることにより、ウエハ面
内の温度均一性を大幅に向上させることが可能となる。
また、上記実施例では、気体ブロワ手段220を設けて
下側電極部38の中心部の脱熱を促進したが、これに代
えて、或いはこれと併用して、図19に示すように、支
柱48と冷却ブロック40との間に、例えばアルミニウ
ム等の熱伝導性部材230を介在させて両者を接合する
ようにしてもよい。尚、232はOリング等のシール部
材であり、処理容器内の気密性を維持している。
【0057】これによれば、下側電極部38の熱がこの
支柱48及び熱伝導性部材230を介して冷却ブロック
40に伝達されるので、図18で説明した場合と同様
に、下側電極部38の中心部近傍の脱熱性を高めて、ウ
エハ温度の面内均一性を高めることが可能となる。尚、
上記実施例においては、各伝熱空間をシールするため
に、図2に示すような耐熱性メタルシール部材66A、
66B等を用いたが、これに限定されず、この伝熱空間
自体をラビリンス構造として伝熱ガスが容易に逃げない
ような構造としてもよい。
【0058】具体的には、この点について、下側電極部
38と下側絶縁体42との接合部を例にとって説明する
と、図20及び図21に示すように下側絶縁体42の下
面に、所定のピッチ、例えば数mm程度のピッチで、螺
旋状或いは同心円状(図20に示す場合は同心円状)に
幅2mmの微少な溝部150を形成する。そして、これ
と対向する下側絶縁体42の上面にも、上記凹凸状の溝
部150に対応してこれに嵌め込むように凹凸状に微少
な溝部152を形成する。そして、これらの表面の溝部
150、152を図21(A)に示すように互いに嵌め
込むことにより、ラビリンス状のラビリンス伝熱空間1
54を形成する。この場合には、耐熱性メタルシール部
材を用いることなく、このラビリンス伝熱空間154に
伝熱ガスをある程度封止することができる。
【0059】また、この場合、図21(B)に示すよう
に、いずれか一方の面、図示例では下側電極部38のみ
に溝部150を形成し、これに対向する他方の面は、平
坦な状態としてもよい。この時形成されるラビリンス伝
熱空間154は、図21(A)に示す程のシール性は確
保できないが、この場合にも伝熱ガスをある程度封止す
ることができる。また、上記実施例では、各加熱ヒータ
部44、116をシースヒータで形成したが、これを他
のヒータ、例えば抵抗金属をセラミックス内にパターン
形成してなるセラミックスヒータ等で構成してもよい
し、また、このヒータ部44、116を連続する一体物
として構成するのではなく、図22に示すように、例え
ば中心側ヒータ部44Aと外周側ヒータ部44Bとに2
分割してゾーン毎に個別に温度制御できるようにしても
よい。また、セラミックスヒータの場合には、パターン
幅を同心円状或いは渦巻状の途中で大きく、或いは小さ
くすることによりゾーン毎の発熱量の制御と同様なコン
トロールを行なうことができる。
【0060】更には、本実施例においては、各電極部3
8、110と冷却ブロック40、112との間にそれぞ
れ絶縁体42、114を設けたが、この絶縁体42、1
14を設けないで、各電極部38、110とそれぞれの
冷却ブロック40、112とを直接接合させるようにし
てもよい。この場合にも、両接合境界に同様な微少な伝
熱空間が発生することになる。尚、この場合には、各電
極構造と処理容器26との絶縁は、別の箇所で行なう。
また、上記実施例ではプラズマCVD処理を例にとって
説明したが、プラズマエッチング処理、プラズマスパッ
タ処理、プラズマアッシング処理及びプラズマを用いな
い熱CVD等にも適用することができる。プラズマを用
いない熱CVD処理装置に本発明を適用した一例である
処理装置が図23に示されている。尚、図中、図1に示
す部分と同一構造の部分には同一符号を付してある。
【0061】ここでは、図1のガスノズル34に代え
て、成膜ガス等の処理ガスを処理容器26内へ供給する
シャワーヘッド部150を設けてあり、図1中の下部の
電極構造28に代えて載置台構造152を設ける。この
載置台構造152は、図1中の下側電極部38と同じ構
造の載置台154と冷却ブロック40とよりなり、この
両者間の伝熱空間62に耐熱性メタルシール部材66
A、66Bを介在させている。ここでは高周波電源を用
いていないので、当然のこととして、図1において設け
られていた下側絶縁体42は不要である。この場合に
も、図1に示した装置と同様な作用効果を発揮すること
ができ、例えば伝熱空間のシール性を高く維持して伝熱
ガスをそれ程消費することなく被処理体の温度を精度良
く制御する等の効果を発揮することができる。そして、
この高周波電源を用いていない載置台構造152及び上
記ラビリンス伝熱空間154を用いている載置台構造に
も、先に図6乃至図19を参照して説明した各態様の実
施例を適用できるのは勿論である。更に、ここでは被処
理体として半導体ウエハを例にとって説明したが、これ
に限定されず、LCD基板、ガラス基板等にも本発明を
適用することができる。
【0062】
【発明の効果】以上説明したように、本発明の電極構
造、載置台構造、プラズマ処理装置及び処理装置によれ
ば、次のように優れた作用効果を発揮することができ
る。本発明の電極構造によれば、200℃以上、例えば
350〜500℃程度の高温域においても、伝熱空間の
シール性を高く維持して、伝熱ガスをそれ程消費するこ
となく被処理体の温度を精度良く制御することができ
る。特に、耐熱圧力センサを用いて制御対象の伝熱空間
やラビリンス伝熱空間の圧力を直接測定するようにした
場合には、迅速に且つより精度の高い圧力制御を行なう
ことができる。また、本発明の載置台構造によれば、2
00℃以上、例えば350〜500℃程度の高温域にお
いても、伝熱空間のシール性を高く維持して、伝熱ガス
をそれ程消費することなく被処理体の温度を精度良く制
御することができる。特に、耐熱圧力センサを用いて制
御対象の伝熱空間やラビリンス伝熱空間の圧力を直接測
定するようにした場合には、迅速に且つより精度の高い
圧力制御を行なうことができる。また、本発明によれ
ば、絶縁体の熱伝導度を80W/mK以上に設定するこ
とにより、この変形反り量を抑制して被処理体の温度分
布の面内均一性を改善することができる。また、本発明
によれば、伝熱空間を区画する接合面の接触率を40〜
80%の範囲内に設定することにより、熱抵抗を過度に
高くすることなく伝熱ガスを面内に略均一に流すことが
できるので、被処理体の温度分布の面内均一性を改善す
ることができる。また、本発明によれば、伝熱空間を区
画する部材の表面粗さを2.0μm以下に設定すること
により、熱伝導性を向上させることができ、被処理体の
温度コントロール性を向上させることができる。また、
本実施例によれば、耐熱性メタルシール部材の表面、或
いはこれが接触する部材の表面にプロセス温度近傍で軟
化する軟化金属膜、或いは軟化金属層を形成することに
より、接触面に存在する脱粒等による切れ込みがプロセ
ス時に埋め込まれ、伝熱ガスが逃げるリークパスが発生
することを防止することができる。また、本発明によれ
ば、耐熱性メタルシール部材の表面にフッ化不動体膜を
形成することにより、このフッ素系ガスに対する耐腐食
性を高めることができる。また、本発明によれば、電極
部或いは載置台を支える中空状の支柱内から電極部或い
は載置台の裏面中心部に気体ブロワを吹き付けることに
より、この部分の脱熱を促進させることができ、被処理
体の温度分布の面内均一性を向上させることができる。
更に、本発明のプラズマ処理装置によれば、高温域にお
いても、被処理体の温度を精度良く制御してプラズマ処
理を行なうことができる。また、本発明の処理装置によ
れば、高温域においても、被処理体の温度を精度良く制
御して処理を行なうことができる。
【図面の簡単な説明】
【図1】本発明に係るプラズマ処理装置の一実施例を示
す構成図である。
【図2】耐熱性メタルシール部材を示す断面図である。
【図3】本発明の電極構造(下側電極部側)の一実施例
の伝熱ガスの供給系を示す図である。
【図4】耐熱圧力センサの概略構成図である。
【図5】本発明の電極構造(上側電極部側)の一実施例
の伝熱ガスの供給系を示す図である。
【図6】絶縁体が反って変形する状態を説明するための
説明図である。
【図7】絶縁体の熱伝導度と反り量(変形量)との関係
を示すグラフである。
【図8】種々の幅のガス溝を部材の接触面に設けた時の
各種の接触率を示す図である。
【図9】接触率に対する熱抵抗と温度分布のばらつきと
の関係を示すグラフである。
【図10】下側電極部の下面と下側絶縁体の上面との間
に形成される伝熱空間の一部をミクロ的に拡大した模式
図である。
【図11】図1に示す電極構造を模式的に示した図であ
る。
【図12】絶縁体の表面粗さRaと熱抵抗との関係を示
すグラフである。
【図13】鏡面仕上げされた電極部の下面と絶縁体の上
面とを模式的に示す図である。
【図14】耐熱性メタルシール部材の表面に軟化金属膜
を形成した状態を示す図である。
【図15】耐熱性メタルシール部材が当接する部材の表
面に軟化金属層を形成した状態を示す図である。
【図16】耐熱性メタルシール部材の表面にフッ素系ガ
スに対して耐腐食性の高いフッ化不動体膜を形成した状
態を示す図である。
【図17】支柱内に気体ブロワ手段を設けた状態を示す
図である。
【図18】半導体ウエハの面内温度分布を示すグラフで
ある。
【図19】支柱と冷却ブロックとの間を熱伝導性部材で
接合した状態を示す図である。
【図20】本発明の変形例の下側電極部の溝部を示す平
面図である。
【図21】本発明の変形例のラビリンス伝熱空間を示す
部分拡大断面図である。
【図22】加熱ヒータ部の変形例を示す図である。
【図23】本発明の処理装置の一例を示す構成図であ
る。
【図24】プラズマCVDを行なう従来装置の一例を示
す概略構成図である。
【符号の説明】
24 プラズマ処理装置 26 処理容器 28,30 電極構造 38 下側電極部 40 冷却ブロック 42 下側絶縁体 44 加熱ヒータ部 46 静電チャック 58 冷却ジャケット 62,64 電極側伝熱空間 66A〜66G,68A,68B 耐熱性メタルシール
部材 70,72 ガス供給通路 74 チャック側伝熱空間 76,78 ガス供給通路 79 耐熱性金属膜 84 低融点材料 94 電極側伝熱ガス供給手段 96 チャック側伝熱ガス供給手段 110 上側電極部 112 冷却ブロック 114 上側絶縁体 116 加熱ヒータ部 124 プラズマ発生用高周波電源 126 冷却ジャケット 128,130 電極側伝熱空間 132A,132B,134A,134B 耐熱性メタ
ルシール部材 136,138 ガス供給通路 142 電極側伝熱ガス供給手段 154 ラビリンス伝熱空間 206 軟化金属膜 208 軟化金属層 210 フッ化不動体層 220 気体ブロワ手段 222 気体噴射ノズル 230 熱伝導性部材 W 半導体ウエハ(被処理体)
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/31 H01L 21/302 B (72)発明者 坪井 恭 神奈川県津久井郡城山町町屋1−2−41 東京エレクトロン宮城株式会社内 (72)発明者 天野 秀昭 神奈川県津久井郡城山町町屋1−2−41 東京エレクトロン宮城株式会社内

Claims (31)

    【特許請求の範囲】
  1. 【請求項1】 真空引き可能になされた処理容器内でプ
    ラズマを用いて被処理体に対して所定の処理を行なうプ
    ラズマ処理装置に用いられる電極構造において、加熱ヒ
    ータ部を内部に有する電極部と、この電極部に接合され
    て内部に前記電極部を冷却する冷却ジャケットを有する
    冷却ブロックと、前記電極部と前記冷却ブロックとの間
    に形成される僅かな間隙の電極側伝熱空間をシールする
    ための耐熱性メタルシール部材と、前記電極側伝熱空間
    に伝熱ガスを供給する電極側伝熱ガス供給手段とを備え
    たことを特徴とする電極構造。
  2. 【請求項2】 真空引き可能になされた処理容器内でプ
    ラズマを用いて被処理体に対して所定の処理を行なうプ
    ラズマ処理装置に用いられる電極構造において、加熱ヒ
    ータ部を内部に有する電極部と、この電極部に接合され
    て内部に前記電極部を冷却する冷却ジャケットを有する
    冷却ブロックと、前記電極部と前記冷却ブロックとの接
    合対向面の内、少なくとも一方に同心円状或いは螺旋状
    に設けられる微少な溝部により形成されたラビリンス伝
    熱空間と、前記ラビリンス伝熱空間に伝熱ガスを供給す
    る電極側伝熱ガス供給手段とを備えたことを特徴とする
    電極構造。
  3. 【請求項3】 前記電極部と前記冷却ブロックとの間に
    は絶縁体が介在されており、前記空間は、上側空間と下
    側空間とに分離されていることを特徴とする請求項1ま
    たは2記載の電極構造。
  4. 【請求項4】 前記絶縁体は、その熱伝導度が80W/
    mK以上の材料よりなることを特徴とする請求項3記載
    の電極構造。
  5. 【請求項5】 前記絶縁体は、窒化アルミニウム(Al
    N)よりなることを特徴とする請求項4記載の電極構
    造。
  6. 【請求項6】 前記電熱空間を区画するように上下に接
    合される部材の接合面の接触率は、40〜80%の範囲
    内に設定されていることを特徴とする請求項1乃至5の
    いずれかに記載の電極構造。
  7. 【請求項7】 前記電熱空間を区画する部材は、その表
    面粗さが2.0μm以下に設定されていることを特徴と
    する請求項1乃至6のいずれかに記載の電極構造。
  8. 【請求項8】 前記耐熱性メタルシール部材は、内部に
    低融点材料を封入した断面リング状の耐熱性金属膜より
    なることを特徴とする請求項1、3乃至7のいずれかに
    記載の電極構造。
  9. 【請求項9】 前記耐熱性メタルシール部材の表面に
    は、前記被処理体のプロセス温度の近傍にて軟化する低
    融点材料よりなる軟化金属膜が被覆されていることを特
    徴とする請求項1、3乃至8のいずれかに記載の電極構
    造。
  10. 【請求項10】 前記耐熱性メタルシール部材が当接す
    る部材の表面には、前記被処理体のプロセス温度の近傍
    にて軟化する低融点材料よりなる軟化金属層が形成され
    ていることを特徴とする請求項1、3乃至9のいずれか
    に記載の電極構造。
  11. 【請求項11】 前記耐熱性メタルシール部材の表面に
    は、フッ素系ガスに対して耐腐食性の高いフッ化不動体
    膜が被覆されていることを特徴とする請求項1、3乃至
    8のいずれかに記載の電極構造。
  12. 【請求項12】 前記フッ化不動体膜は、フッ化ニッケ
    ルよりなることを特徴とする請求項11記載の電極構
    造。
  13. 【請求項13】 前記加熱ヒータ部は、セラミックヒー
    タよりなることを特徴とする請求項1乃至12のいずれ
    かに記載の電極構造。
  14. 【請求項14】 前記加熱ヒータ部は、同心円状にゾー
    ン分割されて個々に制御可能になされていることを特徴
    とする請求項1乃至13のいずれかに記載の電極構造。
  15. 【請求項15】 前記電極部は、前記被処理体の上方に
    対向する上側電極部であることを特徴とする請求項1乃
    至14のいずれかに記載の電極構造。
  16. 【請求項16】 前記電極部は、前記被処理体を載置す
    る載置台を兼ねる下側電極部であり、更に、この下側電
    極部の上面に接合されて前記被処理体を吸着する静電チ
    ャックと、前記静電チャックと前記被処理体との間に形
    成される僅かな間隙のチャック側伝熱空間に伝熱ガスを
    供給するチャック側伝熱ガス供給手段とを備えたことを
    特徴とする請求項1乃至14のいずれかに記載する電極
    構造。
  17. 【請求項17】 前記電極側伝熱空間、前記ラビリンス
    伝熱空間及び前記チャック側伝熱空間の内、少なくとも
    1つの空間には、この空間に臨ませて耐熱圧力センサが
    設けられており、この耐熱圧力センサの出力に基づいて
    前記対応する伝熱ガス供給手段のガス供給量を制御する
    ように構成したことを特徴とする請求項16に記載の電
    極構造。
  18. 【請求項18】 前記電極部の中心は、内部が中空状の
    支柱により支持されており、前記支柱内には、前記電極
    部の裏面中心部に向けて気体ブロウを吹き付けて脱熱を
    促進させる気体ブロワ手段が設けられることを特徴とす
    る請求項1乃至17のいずれかに記載の電極構造。
  19. 【請求項19】 前記電極部の中心は、支柱により支持
    されており、この支柱は前記冷却ブロックに熱伝導性部
    材を介して接続されていることを特徴とする請求項1乃
    至18のいずれかに記載の電極構造。
  20. 【請求項20】 真空引き可能になされた処理容器内で
    被処理体に対して所定の処理を行なう処理装置に用いら
    れる載置台構造において、前記被処理体を加熱するため
    の加熱ヒータ部を内部に有する載置台と、この載置台に
    接合されて内部に前記載置台を冷却する冷却ジャケット
    を有する冷却ブロックと、前記載置台と前記冷却ブロッ
    クとの間に形成される僅かな間隙の伝熱空間をシールす
    るための耐熱性メタルシール部材と、前記伝熱空間に伝
    熱ガスを供給する伝熱ガス供給手段とを備えたことを特
    徴とする載置台構造。
  21. 【請求項21】 前記耐熱性メタルシール部材の表面に
    は、前記被処理体のプロセス温度の近傍にて軟化する低
    融点材料よりなる軟化金属膜が被覆されていることを特
    徴とする請求項20に記載の載置台構造。
  22. 【請求項22】 前記耐熱性メタルシール部材が当接す
    る部材の表面には、前記被処理体のプロセス温度の近傍
    にて軟化する低融点材料よりなる軟化金属層が形成され
    ていることを特徴とする請求項20または21に記載の
    載置台構造。
  23. 【請求項23】 前記耐熱性メタルシール部材の表面に
    は、フッ素系ガスに対して耐腐食性の高いフッ化不動体
    膜が被覆されていることを特徴とする請求項20に記載
    の載置台構造。
  24. 【請求項24】 前記フッ化不動体膜は、フッ化ニッケ
    ルよりなることを特徴とする請求項23記載の載置台構
    造。
  25. 【請求項25】 真空引き可能になされた処理容器内で
    被処理体に対して所定の処理を行なう処理装置に用いら
    れる載置台構造において、前記被処理体を加熱するため
    の加熱ヒータ部を内部に有する載置台と、この載置台に
    接合されて内部に前記載置台を冷却する冷却ジャケット
    を有する冷却ブロックと、前記載置台と前記冷却ブロッ
    クとの接合対向面の内、少なくとも一方に同心円状或い
    は螺旋状に設けられる微少な溝部により形成されたラビ
    リンス伝熱空間と、ラビリンス伝熱空間に伝熱ガスを供
    給する伝熱ガス供給手段とを備えたことを特徴とする載
    置台構造。
  26. 【請求項26】 前記電熱空間を区画するように上下に
    接合される部材の接合面の接触率は、40〜80%の範
    囲内に設定されていることを特徴とする請求項25に記
    載の載置台構造。
  27. 【請求項27】 前記電熱空間を区画する部材は、その
    表面粗さが2.0μm以下に設定されていることを特徴
    とする請求項25または26に記載の載置台構造。
  28. 【請求項28】 前記載置台の中心は、内部が中空状の
    支柱により支持されており、前記支柱内には、前記載置
    台の裏面中心部に向けて気体ブロウを吹き付けて脱熱を
    促進させる気体ブロワ手段が設けられることを特徴とす
    る請求項25乃至27のいずれかに記載の載置台構造。
  29. 【請求項29】 前記載置台の中心は、支柱により支持
    されており、この支柱は前記冷却ブロックに熱伝導性部
    材を介して接続されていることを特徴とする請求項25
    乃至28のいずれかに記載の載置台構造。
  30. 【請求項30】 真空引き可能な処理容器と、請求項1
    乃至19のいずれかに規定する電極構造と、この電極構
    造に高周波電圧を印加する高周波電源とを備えたことを
    特徴とするプラズマ処理装置。
  31. 【請求項31】 真空引き可能な処理容器と、請求項2
    0乃至29のいずれかに規定する載置台構造とを備えた
    ことを特徴とする処理装置。
JP2000168297A 1999-06-21 2000-06-05 電極構造、載置台構造、プラズマ処理装置及び処理装置 Pending JP2001068538A (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2000168297A JP2001068538A (ja) 1999-06-21 2000-06-05 電極構造、載置台構造、プラズマ処理装置及び処理装置
DE60026996T DE60026996T2 (de) 1999-06-21 2000-06-20 Plasmabehandlungsapparat, dessen elektrodenstruktur und struktur der bühne
PCT/JP2000/004011 WO2000079575A1 (fr) 1999-06-21 2000-06-20 Dispositif de traitement au plasma, structure d'electrode associee et structure etagee
KR10-2001-7016361A KR100452649B1 (ko) 1999-06-21 2000-06-20 플라즈마 처리 장치용 전극 구조체, 처리 장치용 탑재대구조체, 플라즈마 처리 장치 및 처리 장치
EP00937315A EP1205964B1 (en) 1999-06-21 2000-06-20 Plasma process device, electrode structure thereof, and stage structure
TW089112182A TW483087B (en) 1999-06-21 2000-06-21 Plasma processing device, electrode structure thereof, and mounting base structure
US09/667,770 US7033444B1 (en) 1999-06-21 2000-09-22 Plasma processing apparatus, and electrode structure and table structure of processing apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP17361399 1999-06-21
JP11-173613 1999-06-21
JP2000168297A JP2001068538A (ja) 1999-06-21 2000-06-05 電極構造、載置台構造、プラズマ処理装置及び処理装置

Publications (1)

Publication Number Publication Date
JP2001068538A true JP2001068538A (ja) 2001-03-16

Family

ID=26495531

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000168297A Pending JP2001068538A (ja) 1999-06-21 2000-06-05 電極構造、載置台構造、プラズマ処理装置及び処理装置

Country Status (7)

Country Link
US (1) US7033444B1 (ja)
EP (1) EP1205964B1 (ja)
JP (1) JP2001068538A (ja)
KR (1) KR100452649B1 (ja)
DE (1) DE60026996T2 (ja)
TW (1) TW483087B (ja)
WO (1) WO2000079575A1 (ja)

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003023062A (ja) * 2001-07-10 2003-01-24 Kyocera Corp ウエハ載置ステージ及びそれを用いた半導体製造装置
JP2004228471A (ja) * 2003-01-27 2004-08-12 Ngk Insulators Ltd 半導体ウェハ保持装置
JP2005133216A (ja) * 2003-10-31 2005-05-26 Lg Phillips Lcd Co Ltd 蒸着工程装置用サセプタ及びその製造方法
JP2005203490A (ja) * 2004-01-14 2005-07-28 Hitachi High-Technologies Corp プラズマ処理装置及び電極
JP2007054925A (ja) * 2005-08-26 2007-03-08 Okamoto Machine Tool Works Ltd 二点式インプロセスゲ−ジ機器を備える基板研削装置
JP2007507104A (ja) * 2003-09-26 2007-03-22 東京エレクトロン株式会社 連絡空間を用いた効率的な温度制御のための方法と装置
JP2007535817A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション シャワーヘッド電極及びヒータを備えるプラズマ処理用の装置
JP2007535816A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション プロセスガス及び高周波電力を供給するガス分配部材を含むプラズマ処理用機器
JP2007329423A (ja) * 2006-06-09 2007-12-20 Tokki Corp 基板加熱装置及び基板加熱方法
JP2007538172A (ja) * 2004-05-20 2007-12-27 ウニヴェルシダーデ ド ミンホ コロナ放電を利用して繊維材料を連続的及び半連続的に処理する方法
JP2008085329A (ja) * 2006-09-25 2008-04-10 Tokyo Electron Ltd 基板処理システムに用いられる耐浸食性絶縁層を有する温度制御された基板ホルダ
JP2008166508A (ja) * 2006-12-28 2008-07-17 Shinko Electric Ind Co Ltd 静電チャック及びその製造方法、及び基板温調固定装置
JP2009512193A (ja) * 2005-10-06 2009-03-19 ラム リサーチ コーポレーション 半径方向の温度制御能力を有する静電チャック
JP2009200329A (ja) * 2008-02-22 2009-09-03 Denso Corp 半導体製造装置
JP2009212340A (ja) * 2008-03-05 2009-09-17 Tokyo Electron Ltd 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
JP2009290213A (ja) * 2008-05-28 2009-12-10 Komico Co Ltd 基板支持装置及びこれを含む基板処理装置
JP2010041041A (ja) * 2008-07-10 2010-02-18 Canon Anelva Corp 基板ホルダ
JP2010524205A (ja) * 2007-03-30 2010-07-15 ラム リサーチ コーポレーション 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ
US7837828B2 (en) 2003-03-12 2010-11-23 Tokyo Electron Limited Substrate supporting structure for semiconductor processing, and plasma processing device
US8007591B2 (en) 2004-01-30 2011-08-30 Tokyo Electron Limited Substrate holder having a fluid gap and method of fabricating the substrate holder
US8092602B2 (en) 2002-11-29 2012-01-10 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP2013110440A (ja) * 2013-03-11 2013-06-06 Tokyo Electron Ltd 電極ユニット及び基板処理装置
JP2013153171A (ja) * 2013-02-15 2013-08-08 Panasonic Corp プラズマ処理装置及びプラズマ処理方法
JP5438670B2 (ja) * 2009-03-27 2014-03-12 株式会社アドバンテスト マルチコラム電子線描画用マスク保持装置及びマルチコラム電子線描画装置
JP2014051716A (ja) * 2012-09-08 2014-03-20 Sumitomo Metal Mining Co Ltd ガス放出機構付きキャンロール及びこれを搭載した長尺基板の処理装置並びにこれを用いた長尺基板の処理方法
KR20150076132A (ko) * 2013-12-26 2015-07-06 램 리써치 코포레이션 하부 전극 어셈블리용 에지 시일
JP2018073637A (ja) * 2016-10-28 2018-05-10 日本特殊陶業株式会社 加熱装置
JP2018073628A (ja) * 2016-10-28 2018-05-10 日本特殊陶業株式会社 加熱装置
JP2018125461A (ja) * 2017-02-02 2018-08-09 東京エレクトロン株式会社 被加工物の処理装置
JP2018125463A (ja) * 2017-02-02 2018-08-09 東京エレクトロン株式会社 被加工物の処理装置
WO2019155808A1 (ja) 2018-02-08 2019-08-15 Sppテクノロジーズ株式会社 基板載置台及びこれを備えたプラズマ処理装置及びプラズマ処理方法
JP2019205991A (ja) * 2018-03-30 2019-12-05 芝浦メカトロニクス株式会社 有機膜形成装置、および有機膜の製造方法
JP2021180308A (ja) * 2020-05-15 2021-11-18 サムス カンパニー リミテッド 静電チャック、基板処理装置及び基板処理方法
JP2022502861A (ja) * 2018-10-11 2022-01-11 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. 静電チャックおよび反応チャンバ
JP2022016319A (ja) * 2020-07-10 2022-01-21 セメス株式会社 基板処理装置及び基板支持ユニット

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE442204T1 (de) * 2000-06-16 2009-09-15 Ati Properties Inc Verfahren zum spritzformen, zerstäuben und wärmeaustausch
US8891583B2 (en) 2000-11-15 2014-11-18 Ati Properties, Inc. Refining and casting apparatus and method
US6496529B1 (en) * 2000-11-15 2002-12-17 Ati Properties, Inc. Refining and casting apparatus and method
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
JP3832409B2 (ja) 2002-09-18 2006-10-11 住友電気工業株式会社 ウエハー保持体及び半導体製造装置
CN101848594B (zh) 2003-02-06 2013-03-13 株式会社半导体能源研究所 等离子体装置
US20040216678A1 (en) * 2003-03-03 2004-11-04 Sumitomo Electric Industries, Ltd. Wafer Holder for Semiconductor Manufacturing Equipment and Semiconductor Manufacturing Equipment in Which It Is Installed
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
US7300707B2 (en) * 2004-10-25 2007-11-27 Creative Technology Corporation Aluminium composite structure having a channel therein and method of manufacturing the same
US20060138925A1 (en) * 2004-12-28 2006-06-29 Yi-Fang Cheng Plasma processing device having a ring-shaped air chamber for heat dissipation
KR100572118B1 (ko) * 2005-01-28 2006-04-18 주식회사 에이디피엔지니어링 플라즈마 처리장치
JP2006237348A (ja) * 2005-02-25 2006-09-07 Ulvac Japan Ltd 静電チャック及びこれを備えた真空処理装置
KR100669111B1 (ko) * 2005-06-16 2007-01-15 삼성전자주식회사 챔버 어셈블리 및 이를 갖는 기판 가공 장치
US7803211B2 (en) * 2005-09-22 2010-09-28 Ati Properties, Inc. Method and apparatus for producing large diameter superalloy ingots
US7803212B2 (en) * 2005-09-22 2010-09-28 Ati Properties, Inc. Apparatus and method for clean, rapidly solidified alloys
US7578960B2 (en) * 2005-09-22 2009-08-25 Ati Properties, Inc. Apparatus and method for clean, rapidly solidified alloys
US8381047B2 (en) * 2005-11-30 2013-02-19 Microsoft Corporation Predicting degradation of a communication channel below a threshold based on data transmission errors
JP4844167B2 (ja) * 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20080083979A1 (en) * 2006-10-10 2008-04-10 Sumitomo Electric Industries, Ltd. Wafer holder and semiconductor manufacturing apparatus equipped with wafer holder
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
US8748773B2 (en) * 2007-03-30 2014-06-10 Ati Properties, Inc. Ion plasma electron emitters for a melting furnace
KR101433415B1 (ko) 2007-03-30 2014-08-26 에이티아이 프로퍼티즈, 인코퍼레이티드 와이어­방전 이온 플라즈마 전자 방출기를 포함하는 용융 퍼니스
JPWO2008156031A1 (ja) * 2007-06-19 2010-08-26 東京エレクトロン株式会社 真空処理装置
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US7798199B2 (en) 2007-12-04 2010-09-21 Ati Properties, Inc. Casting apparatus and method
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US10192760B2 (en) * 2010-07-29 2019-01-29 Eugene Technology Co., Ltd. Substrate supporting unit, substrate processing apparatus, and method of manufacturing substrate supporting unit
KR100943427B1 (ko) * 2008-02-04 2010-02-19 주식회사 유진테크 기판지지유닛 및 기판처리장치, 그리고 기판지지유닛을제조하는 방법
US20110068084A1 (en) * 2008-07-10 2011-03-24 Canon Anelva Corporation Substrate holder and substrate temperature control method
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
JP5056735B2 (ja) * 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
TWI458557B (zh) * 2009-11-26 2014-11-01 Hon Hai Prec Ind Co Ltd 噴塗遮蔽結構及採用該結構之噴塗遮蔽方法
US20110180233A1 (en) * 2010-01-27 2011-07-28 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US8747956B2 (en) 2011-08-11 2014-06-10 Ati Properties, Inc. Processes, systems, and apparatus for forming products from atomized metals and alloys
US8669540B2 (en) * 2011-01-03 2014-03-11 Varian Semiconductor Equipment Associates, Inc. System and method for gas leak control in a substrate holder
JP2012169552A (ja) * 2011-02-16 2012-09-06 Tokyo Electron Ltd 冷却機構、処理室、処理室内部品及び冷却方法
JP2012230023A (ja) * 2011-04-27 2012-11-22 Tokyo Electron Ltd 温度測定装置、温度校正装置及び温度校正方法
JP2013045817A (ja) * 2011-08-23 2013-03-04 Hitachi High-Technologies Corp 真空処理装置および真空処理方法
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
KR101590082B1 (ko) 2011-11-23 2016-01-29 어플라이드 머티어리얼스, 인코포레이티드 실리콘 산화물 화학 기상 증착 포토레지스트 평탄화를 위한 장치들 및 방법들
WO2013162641A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Methods and apparatus toward preventing esc bonding adhesive erosion
US10627173B2 (en) 2012-05-30 2020-04-21 Kyocera Corporation Flow path member, and heat exchanger and semiconductor manufacturing apparatus using same
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
JP2015216255A (ja) * 2014-05-12 2015-12-03 キヤノン株式会社 エッチングチャンバー、および基板の製造方法
CN107810548B (zh) 2015-06-29 2022-03-15 瓦里安半导体设备公司 支撑衬底的设备
JP6959914B2 (ja) 2015-11-05 2021-11-05 アクセリス テクノロジーズ, インコーポレイテッド イオン注入システム用の、リップを有するイオン源ライナー
US10361069B2 (en) * 2016-04-04 2019-07-23 Axcelis Technologies, Inc. Ion source repeller shield comprising a labyrinth seal
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
CN108682636B (zh) * 2018-05-16 2020-10-30 江苏爱矽半导体科技有限公司 一种晶圆刻蚀装置
WO2021025809A1 (en) * 2019-08-02 2021-02-11 Applied Materials, Inc. Pedestal with multi-zone heating
KR20230156441A (ko) 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR102589181B1 (ko) * 2021-08-31 2023-10-16 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0178026U (ja) * 1987-11-13 1989-05-25
JPH06302533A (ja) * 1993-04-19 1994-10-28 Kokusai Electric Co Ltd 縦型反応炉
JPH07283292A (ja) * 1994-04-08 1995-10-27 Tokyo Electron Ltd シール機構並びにこのシール機構を用いた処理装置及び処理方法
JPH09213781A (ja) * 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
US5169407A (en) * 1987-03-31 1992-12-08 Kabushiki Kaisha Toshiba Method of determining end of cleaning of semiconductor manufacturing apparatus
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
WO1990013687A2 (en) * 1989-05-08 1990-11-15 N.V. Philips' Gloeilampenfabrieken Apparatus and method for treating flat substrates under reduced pressure
KR0165898B1 (ko) * 1990-07-02 1999-02-01 미다 가쓰시게 진공처리방법 및 장치
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
US5255153A (en) * 1990-07-20 1993-10-19 Tokyo Electron Limited Electrostatic chuck and plasma apparatus equipped therewith
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
JPH05315262A (ja) * 1992-05-07 1993-11-26 Hitachi Ltd 半導体製造装置
JP3181421B2 (ja) * 1993-01-28 2001-07-03 東京エレクトロン株式会社 処理装置
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
JP3032087B2 (ja) * 1992-07-28 2000-04-10 東京エレクトロン株式会社 プラズマ処理装置
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
JP3153658B2 (ja) 1992-11-20 2001-04-09 東京エレクトロン株式会社 プラズマ処理方法
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JP2898838B2 (ja) * 1993-02-23 1999-06-02 日本碍子株式会社 加熱装置
US5625526A (en) * 1993-06-01 1997-04-29 Tokyo Electron Limited Electrostatic chuck
JP3126561B2 (ja) 1993-09-09 2001-01-22 東京エレクトロン株式会社 真空処理装置
TW277139B (ja) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JPH08507196A (ja) * 1994-01-31 1996-07-30 アプライド マテリアルズ インコーポレイテッド 共形な絶縁体フィルムを有する静電チャック
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5535090A (en) * 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
US5548470A (en) * 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
JP3069271B2 (ja) * 1995-07-12 2000-07-24 勇藏 森 回転電極を用いた高密度ラジカル反応による高能率加工方法及びその装置
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
JPH0997830A (ja) * 1995-07-21 1997-04-08 Fuji Electric Co Ltd 静電チャックホールダ、ウエハ保持機構ならびにその使用方法
US5775416A (en) * 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
US5740016A (en) * 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0178026U (ja) * 1987-11-13 1989-05-25
JPH06302533A (ja) * 1993-04-19 1994-10-28 Kokusai Electric Co Ltd 縦型反応炉
JPH07283292A (ja) * 1994-04-08 1995-10-27 Tokyo Electron Ltd シール機構並びにこのシール機構を用いた処理装置及び処理方法
JPH09213781A (ja) * 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置

Cited By (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003023062A (ja) * 2001-07-10 2003-01-24 Kyocera Corp ウエハ載置ステージ及びそれを用いた半導体製造装置
JP4683775B2 (ja) * 2001-07-10 2011-05-18 京セラ株式会社 ウエハ載置ステージ及びそれを用いた半導体製造装置
US8092602B2 (en) 2002-11-29 2012-01-10 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP2004228471A (ja) * 2003-01-27 2004-08-12 Ngk Insulators Ltd 半導体ウェハ保持装置
US7837828B2 (en) 2003-03-12 2010-11-23 Tokyo Electron Limited Substrate supporting structure for semiconductor processing, and plasma processing device
JP2007507104A (ja) * 2003-09-26 2007-03-22 東京エレクトロン株式会社 連絡空間を用いた効率的な温度制御のための方法と装置
JP4782682B2 (ja) * 2003-09-26 2011-09-28 東京エレクトロン株式会社 連絡空間を用いた効率的な温度制御のための方法と装置
JP2005133216A (ja) * 2003-10-31 2005-05-26 Lg Phillips Lcd Co Ltd 蒸着工程装置用サセプタ及びその製造方法
JP2005203490A (ja) * 2004-01-14 2005-07-28 Hitachi High-Technologies Corp プラズマ処理装置及び電極
JP4574174B2 (ja) * 2004-01-14 2010-11-04 株式会社日立ハイテクノロジーズ プラズマ処理装置及び電極
US8007591B2 (en) 2004-01-30 2011-08-30 Tokyo Electron Limited Substrate holder having a fluid gap and method of fabricating the substrate holder
JP2007535817A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション シャワーヘッド電極及びヒータを備えるプラズマ処理用の装置
KR101166740B1 (ko) 2004-04-30 2012-07-27 램 리써치 코포레이션 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치
JP2007535816A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション プロセスガス及び高周波電力を供給するガス分配部材を含むプラズマ処理用機器
JP4856074B2 (ja) * 2004-05-20 2012-01-18 ウニヴェルシダーデ ド ミンホ コロナ放電を利用して繊維材料を連続的及び半連続的に処理する方法
JP2007538172A (ja) * 2004-05-20 2007-12-27 ウニヴェルシダーデ ド ミンホ コロナ放電を利用して繊維材料を連続的及び半連続的に処理する方法
JP2007054925A (ja) * 2005-08-26 2007-03-08 Okamoto Machine Tool Works Ltd 二点式インプロセスゲ−ジ機器を備える基板研削装置
KR101364319B1 (ko) * 2005-10-06 2014-02-18 램 리써치 코포레이션 반경방향 온도 제어 성능을 갖는 정전 척
JP2009512193A (ja) * 2005-10-06 2009-03-19 ラム リサーチ コーポレーション 半径方向の温度制御能力を有する静電チャック
JP2007329423A (ja) * 2006-06-09 2007-12-20 Tokki Corp 基板加熱装置及び基板加熱方法
JP2008085329A (ja) * 2006-09-25 2008-04-10 Tokyo Electron Ltd 基板処理システムに用いられる耐浸食性絶縁層を有する温度制御された基板ホルダ
JP2008166508A (ja) * 2006-12-28 2008-07-17 Shinko Electric Ind Co Ltd 静電チャック及びその製造方法、及び基板温調固定装置
JP2010524205A (ja) * 2007-03-30 2010-07-15 ラム リサーチ コーポレーション 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ
JP2015029132A (ja) * 2007-03-30 2015-02-12 ラム リサーチ コーポレーションLam Research Corporation 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ
KR101570633B1 (ko) 2007-03-30 2015-11-20 램 리써치 코포레이션 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리
JP2009200329A (ja) * 2008-02-22 2009-09-03 Denso Corp 半導体製造装置
JP2009212340A (ja) * 2008-03-05 2009-09-17 Tokyo Electron Ltd 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
KR101060774B1 (ko) * 2008-03-05 2011-08-30 도쿄엘렉트론가부시키가이샤 전극 유닛, 기판 처리 장치 및 전극 유닛의 온도 제어 방법
JP2009290213A (ja) * 2008-05-28 2009-12-10 Komico Co Ltd 基板支持装置及びこれを含む基板処理装置
JP2010041041A (ja) * 2008-07-10 2010-02-18 Canon Anelva Corp 基板ホルダ
JP5438670B2 (ja) * 2009-03-27 2014-03-12 株式会社アドバンテスト マルチコラム電子線描画用マスク保持装置及びマルチコラム電子線描画装置
JP2014051716A (ja) * 2012-09-08 2014-03-20 Sumitomo Metal Mining Co Ltd ガス放出機構付きキャンロール及びこれを搭載した長尺基板の処理装置並びにこれを用いた長尺基板の処理方法
JP2013153171A (ja) * 2013-02-15 2013-08-08 Panasonic Corp プラズマ処理装置及びプラズマ処理方法
JP2013110440A (ja) * 2013-03-11 2013-06-06 Tokyo Electron Ltd 電極ユニット及び基板処理装置
KR102364449B1 (ko) * 2013-12-26 2022-02-16 램 리써치 코포레이션 하부 전극 어셈블리용 에지 시일
KR20150076132A (ko) * 2013-12-26 2015-07-06 램 리써치 코포레이션 하부 전극 어셈블리용 에지 시일
KR102440192B1 (ko) 2013-12-26 2022-09-02 램 리써치 코포레이션 하부 전극 어셈블리용 에지 시일
KR20220024367A (ko) * 2013-12-26 2022-03-03 램 리써치 코포레이션 하부 전극 어셈블리용 에지 시일
JP2018073628A (ja) * 2016-10-28 2018-05-10 日本特殊陶業株式会社 加熱装置
JP2018073637A (ja) * 2016-10-28 2018-05-10 日本特殊陶業株式会社 加熱装置
JP2018125461A (ja) * 2017-02-02 2018-08-09 東京エレクトロン株式会社 被加工物の処理装置
JP2018125463A (ja) * 2017-02-02 2018-08-09 東京エレクトロン株式会社 被加工物の処理装置
US11404251B2 (en) 2017-02-02 2022-08-02 Tokyo Electron Limited Processing apparatus for processing target object
WO2019155808A1 (ja) 2018-02-08 2019-08-15 Sppテクノロジーズ株式会社 基板載置台及びこれを備えたプラズマ処理装置及びプラズマ処理方法
US11393664B2 (en) 2018-02-08 2022-07-19 Spp Technologies Co., Ltd. Substrate placing table, plasma processing apparatus provided with same, and plasma processing method
JP2019205991A (ja) * 2018-03-30 2019-12-05 芝浦メカトロニクス株式会社 有機膜形成装置、および有機膜の製造方法
JP2022502861A (ja) * 2018-10-11 2022-01-11 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. 静電チャックおよび反応チャンバ
JP7279156B2 (ja) 2018-10-11 2023-05-22 北京北方華創微電子装備有限公司 静電チャックおよび反応チャンバ
JP2021180308A (ja) * 2020-05-15 2021-11-18 サムス カンパニー リミテッド 静電チャック、基板処理装置及び基板処理方法
JP7209767B2 (ja) 2020-05-15 2023-01-20 サムス カンパニー リミテッド 静電チャック、及び基板処理装置
JP2022016319A (ja) * 2020-07-10 2022-01-21 セメス株式会社 基板処理装置及び基板支持ユニット
JP7209775B2 (ja) 2020-07-10 2023-01-20 セメス株式会社 基板処理装置及び基板支持ユニット

Also Published As

Publication number Publication date
EP1205964A1 (en) 2002-05-15
DE60026996D1 (de) 2006-05-18
TW483087B (en) 2002-04-11
DE60026996T2 (de) 2007-03-15
WO2000079575A1 (fr) 2000-12-28
KR20020022072A (ko) 2002-03-23
KR100452649B1 (ko) 2004-10-12
EP1205964B1 (en) 2006-03-29
EP1205964A4 (en) 2005-02-02
US7033444B1 (en) 2006-04-25

Similar Documents

Publication Publication Date Title
JP2001068538A (ja) 電極構造、載置台構造、プラズマ処理装置及び処理装置
CN106469666B (zh) 基座及基质加工设备
US6949722B2 (en) Method and apparatus for active temperature control of susceptors
US8183502B2 (en) Mounting table structure and heat treatment apparatus
KR100431658B1 (ko) 기판 가열 장치 및 이를 갖는 장치
JP4953572B2 (ja) マルチゾーン抵抗ヒータ
KR100861564B1 (ko) 반도체 기판 지지 장치
US20100163183A1 (en) Mounting table structure and heat treatment apparatus
JP2010109346A (ja) 載置台構造及び処理装置
JP2001160479A (ja) セラミックスヒーターおよびそれを用いた基板処理装置
KR101316954B1 (ko) 플라즈마 처리 장치의 기판 지지대
US11384434B2 (en) Substrate processing apparatus and heater device
WO2007007744A1 (ja) 基板載置機構および基板処理装置
JP2001102435A (ja) 載置台構造及び処理装置
JP7308950B2 (ja) 極低温静電チャック
WO2004076715A1 (ja) 真空処理装置
US20030121898A1 (en) Heated vacuum support apparatus
JP4971954B2 (ja) 基板処理装置、半導体装置の製造方法、および加熱装置
TW202137375A (zh) 用於選擇性預清洗的快速響應雙區底座組件
KR102635167B1 (ko) 코팅 타입 고온 정전척
JP2001345314A (ja) 熱処理装置及びその方法
WO2023145054A1 (ja) ヒータユニット、多層構造体、処理装置及び半導体装置の製造方法
JP2008227143A (ja) 基板処理装置
KR20070042668A (ko) 플라즈마를 이용한 막 형성 장치
JPH0620965A (ja) 真空中加熱用ホルダー及びcvd装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070530

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100608

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100805

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100824

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101221